blob: 453b4487edbfbf1dad24ea5267a3b5e5f0505eb7 [file] [log] [blame]
# Copyright 2019 The Pigweed Authors
#
# Licensed under the Apache License, Version 2.0 (the "License"); you may not
# use this file except in compliance with the License. You may obtain a copy of
# the License at
#
# https://www.apache.org/licenses/LICENSE-2.0
#
# Unless required by applicable law or agreed to in writing, software
# distributed under the License is distributed on an "AS IS" BASIS, WITHOUT
# WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the
# License for the specific language governing permissions and limitations under
# the License.
package(default_visibility = ["//visibility:public"])
licenses(["notice"]) # Apache License 2.0
filegroup(
name = "pw_cpu_exception_armv7m",
srcs = [
"entry.cc",
"cpu_state.cc",
"proto_dump.cc",
"public/pw_cpu_exception_armv7m/cpu_state.h",
"public/pw_cpu_exception_armv7m/proto_dump.h",
],
)
filegroup(
name = "pw_cpu_exception_armv7m_test",
srcs = ["exception_entry_test.cc"],
)