blob: 13c39522ca118551e3896412cfcbe6b2eb811d6e [file] [log] [blame]
/*
* Copyright (c) 2021 Arm Limited (or its affiliates). All rights reserved.
* SPDX-License-Identifier: Apache-2.0
*/
#include "fvp_baser_aemv8r.dts"