blob: b6e83b3771601e64a8cba69c25758d4504c238ee [file] [log] [blame]
/*
* Copyright (c) 2021 Linaro Limited
*
* SPDX-License-Identifier: Apache-2.0
*/
#ifndef __DT_FREQ_H
#define __DT_FREQ_H
#define DT_FREQ_K(x) ((x) * 1000)
#define DT_FREQ_M(x) ((x) * 1000 * 1000)
#endif /* __DT_FREQ_H */