blob: 325f0dd544a5e45a9939e6bdb4054dfbd89365e6 [file] [log] [blame]
# Copyright (c) 2021, Nordic Semiconductor ASA
# SPDX-License-Identifier: Apache-2.0
# Hidden option for turning on the dummy driver for vnd,spi devices
# used in testing.
config SPI_TEST
def_bool DT_HAS_VND_SPI_ENABLED
depends on DT_HAS_VND_SPI_ENABLED