blob: 0f6b1435d5dd864e75c654948b235932af8cb155 [file] [log] [blame]
/*
* Copyright (c) 2019 Nordic Semiconductor ASA
*
* SPDX-License-Identifier: Apache-2.0
*/
int settings_backend_init(void)
{
return 0;
}