blob: 36206f7edf87c0d72d5cb4f123e89e94d7227bc4 [file] [log] [blame]
<?xml version="1.0" encoding="UTF-8"?>
<MemInfo Version="1" Minor="1">
<Processor Endianness="Little" InstPath="mb_subsystem_i/microblaze_0">
<AddressSpace Name="mb_subsystem_i_microblaze_0.mb_subsystem_i_microblaze_0_local_memory_dlmb_bram_if_cntlr" Begin="0" End="65535">
<BusBlock>
<BitLane MemType="RAMB36" Placement="X2Y23">
<DataWidth MSB="7" LSB="6"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y30">
<DataWidth MSB="5" LSB="4"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y22">
<DataWidth MSB="3" LSB="2"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y26">
<DataWidth MSB="1" LSB="0"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y32">
<DataWidth MSB="15" LSB="14"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X1Y26">
<DataWidth MSB="13" LSB="12"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y31">
<DataWidth MSB="11" LSB="10"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y26">
<DataWidth MSB="9" LSB="8"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y29">
<DataWidth MSB="23" LSB="22"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y27">
<DataWidth MSB="21" LSB="20"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X4Y25">
<DataWidth MSB="19" LSB="18"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y28">
<DataWidth MSB="17" LSB="16"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y25">
<DataWidth MSB="31" LSB="30"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X2Y24">
<DataWidth MSB="29" LSB="28"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y27">
<DataWidth MSB="27" LSB="26"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
<BitLane MemType="RAMB36" Placement="X3Y29">
<DataWidth MSB="25" LSB="24"/>
<AddressRange Begin="0" End="16383"/>
<Parity ON="false" NumBits="0"/>
</BitLane>
</BusBlock>
</AddressSpace>
</Processor>
<Config>
<Option Name="Part" Val="xc7k325tffg900-2"/>
</Config>
</MemInfo>