blob: e6a7bb31f9a78dddd1bb8c2a592f7310bdbfe794 [file] [log] [blame]
<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Fri Apr 3 09:03:52 2015" VIVADOVERSION="2015.1_swbeta2">
<SYSTEMINFO ARCH="virtex7" BOARD="xilinx.com:vc707:part0:1.2" DEVICE="7vx485t" NAME="design_1" PACKAGE="ffg1761" SPEEDGRADE="-2"/>
<EXTERNALPORTS>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="maxigp0_aclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="processing_system8_0" PORT="maxigp0_aclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="maxigp1_aclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp0_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp0_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp1_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp1_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp2_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp2_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp3_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp3_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp4_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp4_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp5_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp5_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp6_rclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxigp6_wclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="saxiacp_aclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="sacefpd_aclk"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="ref_clk_in_p"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="ref_clk_in_n"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="sys_1x_clk_in_p"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="sys_1x_clk_in_n"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="sys_2x_clk_in_p"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="sys_2x_clk_in_n"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="serdes_clk_in_p"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="serdes_clk_in_n"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="config_loop_in"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="rx_clk_iou17_user_13_p"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="rx_clk_iou17_user_13_n"/>
<CONNECTION INSTANCE="processing_system8_0" PORT="dp_s_axis_audio_clk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="O" LEFT="39" NAME="MAXIGP0_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="MAXIGP0_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="MAXIGP0_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="MAXIGP0_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="MAXIGP0_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_arlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="MAXIGP0_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="MAXIGP0_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="MAXIGP0_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="MAXIGP0_aruser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_arvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="39" NAME="MAXIGP0_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="MAXIGP0_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="MAXIGP0_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="MAXIGP0_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="MAXIGP0_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_awlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="MAXIGP0_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="MAXIGP0_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_awready" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="MAXIGP0_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="MAXIGP0_awuser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_awvalid" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="MAXIGP0_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="MAXIGP0_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_bvalid" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="MAXIGP0_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="MAXIGP0_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="MAXIGP0_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_rvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="MAXIGP0_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="MAXIGP0_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="MAXIGP0_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="MAXIGP0_wvalid" SIGIS="undef"/>
</EXTERNALPORTS>
<EXTERNALINTERFACES>
<BUSINTERFACE BUSNAME="External_Interface_MAXIGP0" NAME="MAXIGP0" TYPE="MASTER">
<PORTMAPS>
<PORTMAP PHYSICAL="MAXIGP0_araddr"/>
<PORTMAP PHYSICAL="MAXIGP0_arburst"/>
<PORTMAP PHYSICAL="MAXIGP0_arcache"/>
<PORTMAP PHYSICAL="MAXIGP0_arid"/>
<PORTMAP PHYSICAL="MAXIGP0_arlen"/>
<PORTMAP PHYSICAL="MAXIGP0_arlock"/>
<PORTMAP PHYSICAL="MAXIGP0_arprot"/>
<PORTMAP PHYSICAL="MAXIGP0_arqos"/>
<PORTMAP PHYSICAL="MAXIGP0_arready"/>
<PORTMAP PHYSICAL="MAXIGP0_arsize"/>
<PORTMAP PHYSICAL="MAXIGP0_aruser"/>
<PORTMAP PHYSICAL="MAXIGP0_arvalid"/>
<PORTMAP PHYSICAL="MAXIGP0_awaddr"/>
<PORTMAP PHYSICAL="MAXIGP0_awburst"/>
<PORTMAP PHYSICAL="MAXIGP0_awcache"/>
<PORTMAP PHYSICAL="MAXIGP0_awid"/>
<PORTMAP PHYSICAL="MAXIGP0_awlen"/>
<PORTMAP PHYSICAL="MAXIGP0_awlock"/>
<PORTMAP PHYSICAL="MAXIGP0_awprot"/>
<PORTMAP PHYSICAL="MAXIGP0_awqos"/>
<PORTMAP PHYSICAL="MAXIGP0_awready"/>
<PORTMAP PHYSICAL="MAXIGP0_awsize"/>
<PORTMAP PHYSICAL="MAXIGP0_awuser"/>
<PORTMAP PHYSICAL="MAXIGP0_awvalid"/>
<PORTMAP PHYSICAL="MAXIGP0_bid"/>
<PORTMAP PHYSICAL="MAXIGP0_bready"/>
<PORTMAP PHYSICAL="MAXIGP0_bresp"/>
<PORTMAP PHYSICAL="MAXIGP0_bvalid"/>
<PORTMAP PHYSICAL="MAXIGP0_rdata"/>
<PORTMAP PHYSICAL="MAXIGP0_rid"/>
<PORTMAP PHYSICAL="MAXIGP0_rlast"/>
<PORTMAP PHYSICAL="MAXIGP0_rready"/>
<PORTMAP PHYSICAL="MAXIGP0_rresp"/>
<PORTMAP PHYSICAL="MAXIGP0_rvalid"/>
<PORTMAP PHYSICAL="MAXIGP0_wdata"/>
<PORTMAP PHYSICAL="MAXIGP0_wlast"/>
<PORTMAP PHYSICAL="MAXIGP0_wready"/>
<PORTMAP PHYSICAL="MAXIGP0_wstrb"/>
<PORTMAP PHYSICAL="MAXIGP0_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
</EXTERNALINTERFACES>
<MODULES>
<MODULE CONFIGURABLE="TRUE" FULLNAME="/processing_system8_0" HWVERSION="1.0" INSTANCE="processing_system8_0" IPTYPE="PERIPHERAL" IS_ENABLE="1" IS_PL="FALSE" MODTYPE="processing_system8" VLNV="xilinx.com:ip:processing_system8:1.0">
<DOCUMENTS/>
<PARAMETERS>
<PARAMETER NAME="C_CPU11_USER42_OUT" VALUE="11"/>
<PARAMETER NAME="C_CPU11_USER42_IN" VALUE="11"/>
<PARAMETER NAME="C_CORE33_USER39" VALUE="24"/>
<PARAMETER NAME="C_CORE32_USER38" VALUE="24"/>
<PARAMETER NAME="C_CORE12_USER18" VALUE="24"/>
<PARAMETER NAME="C_CORE31_USER37" VALUE="24"/>
<PARAMETER NAME="C_CORE13_USER19" VALUE="22"/>
<PARAMETER NAME="C_CORE11_USER17" VALUE="22"/>
<PARAMETER NAME="C_GPU31_USER22" VALUE="22"/>
<PARAMETER NAME="C_IOU19_USER12" VALUE="20"/>
<PARAMETER NAME="C_IOU17_USER13" VALUE="21"/>
<PARAMETER NAME="C_IOU18_USER16_IN" VALUE="2"/>
<PARAMETER NAME="C_RATIO_EMIO" VALUE="8"/>
<PARAMETER NAME="C_IOU18_USER16_OUT" VALUE="1"/>
<PARAMETER NAME="C_USER21_MEMC15" VALUE="24"/>
<PARAMETER NAME="C_USER40_MEMC35" VALUE="24"/>
<PARAMETER NAME="C_USER41_MEMC34" VALUE="24"/>
<PARAMETER NAME="C_USER20_MEMC36" VALUE="23"/>
<PARAMETER NAME="C_MAXIGP0_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_MAXIGP1_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_MAXIGP2_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP0_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP1_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP2_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP3_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP4_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP5_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="C_SAXIGP6_DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU_VALUE_SILVERSION" VALUE="3"/>
<PARAMETER NAME="PSU__CRYSTAL__PERIPHERAL__FREQMHZ" VALUE="33.333"/>
<PARAMETER NAME="PSU__CPU_CPU_6X4X_MAX_RANGE"/>
<PARAMETER NAME="PSU__CAN0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CAN0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__CAN0__GRP_CLK__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CAN0__GRP_CLK__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CAN1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CAN1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__CAN1__GRP_CLK__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CAN1__GRP_CLK__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CAN0_LOOP_CAN1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__DPAUX__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__DPAUX__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__ENET0__GRP_MDIO__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__ACT_DDR_FREQ_MHZ"/>
<PARAMETER NAME="PSU__ENET0__GRP_MDIO__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__GEM__TSU__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__GEM__TSU__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__ENET0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__ENET0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__ENET1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__ENET1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__ENET1__GRP_MDIO__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__FPGA_PL0_ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__FPGA_PL1_ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__FPGA_PL2_ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__FPGA_PL3_ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__ENET1__GRP_MDIO__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__ENET2__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__ENET2__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__ENET2__GRP_MDIO__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__ENET2__GRP_MDIO__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__ENET3__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__ENET3__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__ENET3__GRP_MDIO__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__ENET3__GRP_MDIO__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__GPIO0_EMIO__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__GPIO0_EMIO__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__GPIO0_MIO__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__GPIO0_MIO__IO" VALUE="MIO 0 .. 25"/>
<PARAMETER NAME="PSU__GPIO1_EMIO__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__GPIO1_EMIO__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__GPIO1_MIO__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__GPIO1_MIO__IO" VALUE="MIO 26 .. 51"/>
<PARAMETER NAME="PSU__GPIO2_EMIO__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__GPIO2_EMIO__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__GPIO2_MIO__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__GPIO2_MIO__IO" VALUE="MIO 52 .. 77"/>
<PARAMETER NAME="PSU__I2C0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__I2C0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__I2C0__GRP_INT__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__I2C0__GRP_INT__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__I2C1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__I2C1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__I2C1__GRP_INT__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__I2C1__GRP_INT__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__I2C0_LOOP_I2C1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__PCIE__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__PCIE__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU_IMPORT_BOARD_PRESET" VALUE="None"/>
<PARAMETER NAME="PSU__GENERATE_SECURITY_REGISTERS" VALUE="0"/>
<PARAMETER NAME="PSU__DDR_4KB_ALIGNMENT" VALUE="NA"/>
<PARAMETER NAME="PSU__OCM_4KB_ALIGNMENT" VALUE="NA"/>
<PARAMETER NAME="PSU__FPD_4KB_ALIGNMENT" VALUE="NA"/>
<PARAMETER NAME="PSU__APU_DDR_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__APU_OCM_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__APU_LPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__APU_FPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__RPU_DDR_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__RPU_OCM_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__RPU_LPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__RPU_FPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__PL_DDR_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__PL_OCM_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__PL_LPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__PL_FPD_SEGMENTS" VALUE="NA"/>
<PARAMETER NAME="PSU__APU_SLAVES" VALUE="DDR, DDR, 00000000, 7FFFFFFF| OCM, OCM, FFFC0000, FFFFFFFF| FPD, PCIe, 600000000, 7FFFFFFFF| FPD, SATA, FE420000, FE4201FF| FPD, GPU, FE530000, FE53BFFF| FPD, DPORT, FE520000, FE52FFFF| LPD, QSPI_Linear_Address, C0000000, DFFFFFFF| LPD, UART0, FF000000, FF00FFFF| LPD, UART1, FF010000, FF01FFFF| LPD, I2C0, FF020000, FF02FFFF| LPD, I2C1, FF030000, FF03FFFF| LPD, SPI0, FF040000, FF04FFFF| LPD, SPI1, FF050000, FF05FFFF| LPD, CAN0, FF060000, FF06FFFF| LPD, CAN1, FF070000, FF07FFFF| LPD, GPIO, FF0A0000, FF0AFFFF| LPD, GEM0, FF0B0000, FF0BFFFF| LPD, GEM1, FF0C0000, FF0CFFFF| LPD, GEM2, FF0D0000, FF0DFFFF| LPD, GEM3, FF0E0000, FF0EFFFF| LPD, QSPI, FF0F0000, FF0FFFFF| LPD, NAND, FF100000, FF10FFFF| LPD, TTC0, FF110000, FF11FFFF| LPD, TTC1, FF120000, FF12FFFF| LPD, TTC2, FF130000, FF13FFFF| LPD, TTC3, FF140000, FF14FFFF| LPD, SWDT, FF150000, FF15FFFF| LPD, SD0, FF160000, FF16FFFF| LPD, SD1, FF170000, FF17FFFF| LPD, IOU_SLCR, FF180000, FF23FFFF| LPD, IOU_SECURE_SLCR, FF240000, FF24FFFF| LPD, IOU_SCNTR, FF250000, FF25FFFF| LPD, IOU_SCNTRS, FF260000, FF26FFFF| LPD, IPI, FF300000, FF3FFFFF| LPD, LPD_SLCR, FF410000, FF4AFFFF| LPD, LPD_SLCR_SECURE, FF4B0000, FF4DFFFF| LPD, CRL_APB, FF5E0000, FF85FFFF| LPD, OCM_SLCR, FF960000, FF96FFFF| LPD, LPD_XPPU, FF980000, FF99FFFF| LPD, RPU, FF9A0000, FF9AFFFF| LPD, AFIFM6, FF9B0000, FF9BFFFF| LPD, LPD_XPPU_SINK, FF9C0000, FF9CFFFF| LPD, USB3_0, FF9D0000, FF9DFFFF| LPD, USB3_1, FF9E0000, FF9EFFFF| LPD, APM0, FFA00000, FFA0FFFF| LPD, APM1, FFA10000, FFA1FFFF| LPD, AMS, FFA50000, FFA5FFFF| LPD, RTC, FFA60000, FFA6FFFF| LPD, OCM_XMPU_CFG, FFA70000, FFA7FFFF| LPD, ADMA_0, FFA80000, FFA8FFFF| LPD, ADMA_1, FFA90000, FFA9FFFF| LPD, ADMA_2, FFAA0000, FFAAFFFF| LPD, ADMA_3, FFAB0000, FFABFFFF| LPD, ADMA_4, FFAC0000, FFACFFFF| LPD, ADMA_5, FFAD0000, FFADFFFF| LPD, ADMA_6, FFAE0000, FFAEFFFF| LPD, ADMA_7, FFAF0000, FFAFFFFF| LPD, CSU_ROM, FFC00000, FFC1FFFF| LPD, CSU_LOCAL, FFC20000, FFC2FFFF| LPD, PUF, FFC30000, FFC3FFFF| LPD, CSU_RAM, FFC40000, FFC5FFFF| LPD, CSU_IOMODULE, FFC60000, FFC7FFFF| LPD, CSUDMA, FFC80000, FFC9FFFF| LPD, CSU, FFCA0000, FFCAFFFF| LPD, CSU_WDT, FFCB0000, FFCBFFFF| LPD, EFUSE, FFCC0000, FFCCFFFF| LPD, BBRAM, FFCD0000, FFCDFFFF| LPD, RSA_CORE, FFCE0000, FFCEFFFF| LPD, MBISTJTAG, FFCF0000, FFCFFFFF| LPD, PMU_ROM, FFD00000, FFD3FFFF| LPD, PMU_IOMODULE, FFD40000, FFD5FFFF| LPD, PMU_LOCAL, FFD60000, FFD7FFFF| LPD, PMU_GLOBAL, FFD80000, FFDBFFFF| LPD, PMU_RAM, FFDC0000, FFDDFFFF| LPD, R5_0_ATCM, FFE00000, FFE0FFFF| LPD, R5_0_ATCM_lockstep, FFE10000, FFE1FFFF| LPD, R5_0_BTCM, FFE20000, FFE2FFFF| LPD, R5_0_BTCM_lockstep, FFE30000, FFE3FFFF| LPD, R5_0_Instruction_Cache, FFE40000, FFE4FFFF| LPD, R5_0_Data_Cache, FFE50000, FFE5FFFF| LPD, R5_1_ATCM_, FFE90000, FFE9FFFF| LPD, R5_1_BTCM_, FFEB0000, FFEBFFFF| LPD, R5_1_Instruction_Cache, FFEC0000, FFECFFFF| LPD, R5_1_Data_Cache, FFED0000, FFEDFFFF| LPD, IOU_GPV, FE000000, FE0FFFFF| LPD, LPD_GPV, FE100000, FE1FFFFF| LPD, USB3_0_XHCI, FE200000, FE2FFFFF| LPD, USB3_1_XHCI, FE300000, FE3FFFFF| LPD, Coresight, FE800000, FEFFFFFF"/>
<PARAMETER NAME="PSU__RPU_SLAVES"/>
<PARAMETER NAME="PSU__PL_SLAVES"/>
<PARAMETER NAME="PSU__APU_MASTERS" VALUE="NA"/>
<PARAMETER NAME="PSU__SUBSYSTEM_MASTERS" VALUE="NA"/>
<PARAMETER NAME="PSU__EP__IP" VALUE="1"/>
<PARAMETER NAME="PSU__ACTUAL__IP" VALUE="0"/>
<PARAMETER NAME="PSU__RPU_MASTERS" VALUE="NA"/>
<PARAMETER NAME="PSU__ALL_MASTERS" VALUE="ADMA:PSU__ADMA_COHERENCY,AFI0,AFI1,AFI2,AFI3,AFI4,AFI5,AFILPD,APU,CSU:PSU__CSU_COHERENCY,Coresight,DAP:PSU__DAP_COHERENCY,DP,GDMA,GEM0:PSU__GEM0_COHERENCY,GEM1:PSU__GEM1_COHERENCY,GEM2:PSU__GEM2_COHERENCY,GEM3:PSU__GEM3_COHERENCY,GPU,NAND:PSU__NAND_COHERENCY,PCIe,PMU:PSU__PMU_COHERENCY,QSPI:PSU__QSPI_COHERENCY,RPU,SATA,SD0:PSU__SD0_COHERENCY,SD1:PSU__SD1_COHERENCY,USB0:PSU__USB0_COHERENCY,USB1:PSU__USB1_COHERENCY"/>
<PARAMETER NAME="PSU__PL_MASTERS"/>
<PARAMETER NAME="PSU__RPU_COHERENCY" VALUE="0"/>
<PARAMETER NAME="PSU__PMU_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__CSU_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__USB0_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__USB1_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__DAP_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__ADMA_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__SD0_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__SD1_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__NAND_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__QSPI_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__GEM0_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__GEM1_COHERENCY" VALUE="0"/>
<PARAMETER NAME="PSU__GEM2_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU__GEM3_COHERENCY" VALUE="1"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_DQS_TO_CLK_DELAY_0" VALUE="-0.005"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_DQS_TO_CLK_DELAY_1" VALUE="-0.004"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_DQS_TO_CLK_DELAY_2" VALUE="-0.008"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_DQS_TO_CLK_DELAY_3" VALUE="-0.058"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_BOARD_DELAY0" VALUE="0.075"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_BOARD_DELAY1" VALUE="0.076"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_BOARD_DELAY2" VALUE="0.082"/>
<PARAMETER NAME="PSU_PACKAGE_DDR_BOARD_DELAY3" VALUE="0.100"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_AR" VALUE="NA"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_CLR" VALUE="NA"/>
<PARAMETER NAME="PSU_UIPARAM_GENERATE_SUMMARY" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU_MIO_TREE_PERIPHERALS" VALUE="Single Quad SPI (4bit)#Single Quad SPI (4bit)#Single Quad SPI (4bit)#Single Quad SPI (4bit)#Single Quad SPI (4bit)#Single Quad SPI (4bit)#GPIO0 MIO#GPIO0 MIO#GPIO0 MIO#GPIO0 MIO#NAND#NAND#GPIO0 MIO#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#NAND#GPIO1 MIO#GPIO1 MIO#SPI 0#GPIO1 MIO#GPIO1 MIO#NAND#GPIO1 MIO#GPIO1 MIO#SPI 1#GPIO1 MIO#GPIO1 MIO#GPIO1 MIO#SD 1#SD 1#SD 1#SD 1#SD 1#GPIO1 MIO#GPIO1 MIO#SD 1#SD 1#SD 1#SD 1#SD 1#SD 1#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#GPIO2 MIO"/>
<PARAMETER NAME="PSU_MIO_TREE_SIGNALS" VALUE="sclk_out#so_mo1#mo2#mo3#si_mi0#n_ss_out#gpio0[6]#gpio0[7]#gpio0[8]#gpio0[9]#nfc_rb_n[0]#nfc_rb_n[1]#gpio0[12]#nfc_ce[0]#nfc_cle#nfc_ale#nfc_dq_out[0]#nfc_dq_out[1]#nfc_dq_out[2]#nfc_dq_out[3]#nfc_dq_out[4]#nfc_dq_out[5]#nfc_we_b#nfc_dq_out[6]#nfc_dq_out[7]#nfc_re_n#nfc_ce[1]#gpio1[27]#gpio1[28]#n_ss_out[0]#gpio1[30]#gpio1[31]#nfc_dqs_out#gpio1[33]#gpio1[34]#n_ss_out[0]#gpio1[36]#gpio1[37]#gpio1[38]#sdio1_data_out[4]#sdio1_data_out[5]#sdio1_data_out[6]#sdio1_data_out[7]#sdio1_bus_pow#gpio1[44]#gpio1[45]#sdio1_data_out[0]#sdio1_data_out[1]#sdio1_data_out[2]#sdio1_data_out[3]#sdio1_cmd_out#sdio1_clk_out#ulpi_clk_in#ulpi_dir#ulpi_tx_data[2]#ulpi_nxt#ulpi_tx_data[0]#ulpi_tx_data[1]#ulpi_stp#ulpi_tx_data[3]#ulpi_tx_data[4]#ulpi_tx_data[5]#ulpi_tx_data[6]#ulpi_tx_data[7]#sdio0_clk_out#sdio0_cd_n#sdio0_cmd_out#sdio0_data_out[0]#sdio0_data_out[1]#sdio0_data_out[2]#sdio0_data_out[3]#sdio0_data_out[4]#sdio0_data_out[5]#sdio0_data_out[6]#sdio0_data_out[7]#sdio0_bus_pow#sdio0_wp#gpio2[77]"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_RC" VALUE="NA"/>
<PARAMETER NAME="PSU_PERIPHERAL_BOARD_PRESET"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_REA" VALUE="NA"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_RR" VALUE="NA"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_WC" VALUE="NA"/>
<PARAMETER NAME="PSU_NAND_CYCLES_T_WP" VALUE="NA"/>
<PARAMETER NAME="PSU__NAND__PERIPHERAL__IO" VALUE="MIO 13 .. 25"/>
<PARAMETER NAME="PSU__NAND__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__NAND__READY_BUSY__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__NAND__READY_BUSY__IO" VALUE="MIO 10 .. 11"/>
<PARAMETER NAME="PSU__NAND__CHIP_ENABLE__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__NAND__CHIP_ENABLE__IO" VALUE="MIO 26"/>
<PARAMETER NAME="PSU__NAND__DATA_STROBE__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__NAND__DATA_STROBE__IO" VALUE="MIO 32"/>
<PARAMETER NAME="PSU__PJTAG__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__PJTAG__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU_NOR_CS0_T_CEOE" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_T_PC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_T_RC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_T_TR" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_T_WC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_T_WP" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS0_WE_TIME" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_CEOE" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_PC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_RC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_TR" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_WC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_T_WP" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_CS1_WE_TIME" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_CEOE" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_PC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_RC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_TR" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_WC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_T_WP" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS0_WE_TIME" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_CEOE" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_PC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_RC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_TR" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_WC" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_T_WP" VALUE="NA"/>
<PARAMETER NAME="PSU_NOR_SRAM_CS1_WE_TIME" VALUE="NA"/>
<PARAMETER NAME="PSU__PMU__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__PMU__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU_PRESET_BANK0_VOLTAGE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU_PRESET_BANK1_VOLTAGE" VALUE="&lt;Select>"/>
<PARAMETER NAME="preset" VALUE="Remus"/>
<PARAMETER NAME="PSU__CSU__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__QSPI__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__QSPI__GRP_FBCLK__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__QSPI__GRP_FBCLK__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__QSPI__SINGLE__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__QSPI__SINGLE__IO" VALUE="MIO 0 .. 5"/>
<PARAMETER NAME="PSU__QSPI__SINGLE_MODE" VALUE="x4"/>
<PARAMETER NAME="PSU__QSPI__DUAL_STACKED__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__QSPI__DUAL_STACKED__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__QSPI__DUAL_STACKED_MODE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__QSPI__DUAL_PARALLEL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__QSPI__DUAL_PARALLEL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__QSPI__DUAL_PARALLEL_MODE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__SD0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD0__PERIPHERAL__IO" VALUE="MIO 64 .. 74"/>
<PARAMETER NAME="PSU__SD0__GRP_CD__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD0__GRP_CD__IO" VALUE="MIO 65"/>
<PARAMETER NAME="PSU__SD0__GRP_POW__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD0__GRP_POW__IO" VALUE="MIO 76"/>
<PARAMETER NAME="PSU__SD0__GRP_WP__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD0__GRP_WP__IO" VALUE="MIO 75"/>
<PARAMETER NAME="PSU__SD0__SLOT_TYPE" VALUE="SD"/>
<PARAMETER NAME="PSU__SD0__SPEED_MODE" VALUE="high speed"/>
<PARAMETER NAME="PSU__SD1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD1__PERIPHERAL__IO" VALUE="MIO 39 .. 51"/>
<PARAMETER NAME="PSU__SD1__GRP_CD__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD1__GRP_CD__IO" VALUE="MIO 20"/>
<PARAMETER NAME="PSU__SD1__GRP_POW__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD1__GRP_POW__IO" VALUE="MIO 43"/>
<PARAMETER NAME="PSU__SD1__GRP_WP__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SD1__GRP_WP__IO" VALUE="MIO 49"/>
<PARAMETER NAME="PSU__SD1__SLOT_TYPE" VALUE="SD"/>
<PARAMETER NAME="PSU__SD1__SPEED_MODE" VALUE="high speed"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T0" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T1" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T2" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T3" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T4" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T5" VALUE="NA"/>
<PARAMETER NAME="PSU_SMC_CYCLE_T6" VALUE="NA"/>
<PARAMETER NAME="PSU__SPI0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SPI0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS0__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS0__IO" VALUE="MIO 29"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS1__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS2__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__SPI0__GRP_SS2__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__SPI1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SPI1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS0__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS0__IO" VALUE="MIO 35"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS1__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS2__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__SPI1__GRP_SS2__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__SPI0_LOOP_SPI1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__SWDT0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SWDT0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__SWDT1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__SWDT1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__UART0__BAUD_RATE" VALUE="-1"/>
<PARAMETER NAME="PSU__TRACE__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__TRACE__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__TTC0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__TTC0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__TTC1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__TTC1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__UART1__BAUD_RATE" VALUE="-1"/>
<PARAMETER NAME="PSU__TTC2__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__TTC2__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__TTC3__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__TTC3__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__DDRC__AL" VALUE="0"/>
<PARAMETER NAME="PSU__DDRC__BANK_ADDR_COUNT" VALUE="3"/>
<PARAMETER NAME="PSU__DDRC__BL" VALUE="8"/>
<PARAMETER NAME="PSU__DDRC__BOARD_DELAY0" VALUE="0.25"/>
<PARAMETER NAME="PSU__DDRC__BOARD_DELAY1" VALUE="0.30"/>
<PARAMETER NAME="PSU__DDRC__BOARD_DELAY2" VALUE="0.35"/>
<PARAMETER NAME="PSU__DDRC__BOARD_DELAY3" VALUE="0.40"/>
<PARAMETER NAME="PSU__DDRC__BUS_WIDTH" VALUE="64 Bit"/>
<PARAMETER NAME="PSU__DDRC__CL" VALUE="7"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_0_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_0_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_0_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_1_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_1_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_1_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_2_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_2_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_2_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_3_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_3_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_3_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__CLOCK_STOP_EN" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__DDRC__COL_ADDR_COUNT" VALUE="10"/>
<PARAMETER NAME="PSU__DDRC__CWL" VALUE="6.000000"/>
<PARAMETER NAME="PSU__DDRC__DEVICE_CAPACITY" VALUE="512 MBits"/>
<PARAMETER NAME="PSU__DDRC__DQ_0_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQ_0_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQ_0_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQ_1_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQ_1_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQ_1_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQ_2_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQ_2_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQ_2_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQ_3_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQ_3_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQ_3_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQS_0_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQS_0_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQS_0_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQS_1_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQS_1_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQS_1_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQS_2_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQS_2_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQS_2_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQS_3_LENGTH_MM"/>
<PARAMETER NAME="PSU__DDRC__DQS_3_PACKAGE_LENGTH"/>
<PARAMETER NAME="PSU__DDRC__DQS_3_PROPOGATION_DELAY"/>
<PARAMETER NAME="PSU__DDRC__DQS_TO_CLK_DELAY_0" VALUE="0.00"/>
<PARAMETER NAME="PSU__DDRC__DQS_TO_CLK_DELAY_1" VALUE="0.05"/>
<PARAMETER NAME="PSU__DDRC__DQS_TO_CLK_DELAY_2" VALUE="0.10"/>
<PARAMETER NAME="PSU__DDRC__DQS_TO_CLK_DELAY_3" VALUE="0.15"/>
<PARAMETER NAME="PSU__DDRC__DRAM_WIDTH" VALUE="8 Bits"/>
<PARAMETER NAME="PSU__DDRC__ECC" VALUE="Disabled"/>
<PARAMETER NAME="PSU__DDRC__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__DDRC__FREQ_MHZ"/>
<PARAMETER NAME="PSU__DDRC__HIGH_TEMP" VALUE="Normal (0-85)"/>
<PARAMETER NAME="PSU__DDRC__MEMORY_TYPE" VALUE="DDR 3"/>
<PARAMETER NAME="PSU__DDRC__PARTNO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__DDRC__ROW_ADDR_COUNT" VALUE="16"/>
<PARAMETER NAME="PSU__DDRC__SPEED_BIN" VALUE="DDR3_1066F"/>
<PARAMETER NAME="PSU__DDRC__T_FAW" VALUE="50"/>
<PARAMETER NAME="PSU__DDRC__T_RAS_MIN" VALUE="37.5"/>
<PARAMETER NAME="PSU__DDRC__T_RC" VALUE="50.625"/>
<PARAMETER NAME="PSU__DDRC__T_RCD" VALUE="7"/>
<PARAMETER NAME="PSU__DDRC__T_RP" VALUE="7"/>
<PARAMETER NAME="PSU__DDRC__TRAIN_DATA_EYE" VALUE="0"/>
<PARAMETER NAME="PSU__DDRC__TRAIN_READ_GATE" VALUE="0"/>
<PARAMETER NAME="PSU__DDRC__TRAIN_WRITE_LEVEL" VALUE="0"/>
<PARAMETER NAME="PSU__DDRC__USE_INTERNAL_VREF" VALUE="0"/>
<PARAMETER NAME="PSU__FP__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__PL__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__OCM_BANK0__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__OCM_BANK1__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__OCM_BANK2__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__OCM_BANK3__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__TCM0A__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__TCM0B__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__TCM1A__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__TCM1B__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__RPU__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__L2_BANK0__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__GPU_PP0__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__GPU_PP1__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__ACPU0__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__ACPU1__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__ACPU2__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__ACPU3__POWER__ON" VALUE="1"/>
<PARAMETER NAME="PSU__UART0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__UART0__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__UART1__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__UART1__PERIPHERAL__IO" VALUE="EMIO"/>
<PARAMETER NAME="PSU__UART0_LOOP_UART1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__USB0__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__USB0__PERIPHERAL__IO" VALUE="MIO 52 .. 63"/>
<PARAMETER NAME="PSU__USB1__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__USB1__PERIPHERAL__IO" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__USE__ADMA" VALUE="1"/>
<PARAMETER NAME="PSU__USE__M_AXI_GP0" VALUE="1"/>
<PARAMETER NAME="PSU__MAXIGP0__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__M_AXI_GP1" VALUE="1"/>
<PARAMETER NAME="PSU__MAXIGP1__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__M_AXI_GP2" VALUE="0"/>
<PARAMETER NAME="PSU__MAXIGP2__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_ACP" VALUE="1"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP0" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP0" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP0__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP1" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP1" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP1__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP2" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP2" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP2__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP3" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP3" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP3__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP4" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP4" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP4__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP5" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP5" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP5__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_GP6" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DIFF_RW_CLK_GP6" VALUE="0"/>
<PARAMETER NAME="PSU__SAXIGP6__DATA_WIDTH" VALUE="128"/>
<PARAMETER NAME="PSU__USE__S_AXI_ACE" VALUE="1"/>
<PARAMETER NAME="PSU__USE__REMUS_PORTS" VALUE="1"/>
<PARAMETER NAME="PSU__USE__DEBUG_PORTS" VALUE="0"/>
<PARAMETER NAME="PSU__CPU11_USER42_OUT" VALUE="11"/>
<PARAMETER NAME="PSU__CPU11_USER42_IN" VALUE="11"/>
<PARAMETER NAME="PSU__REMUS_DBG_ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CORE33_USER39" VALUE="24"/>
<PARAMETER NAME="PSU__CORE32_USER38" VALUE="24"/>
<PARAMETER NAME="PSU__CORE12_USER18" VALUE="24"/>
<PARAMETER NAME="PSU__CORE31_USER37" VALUE="24"/>
<PARAMETER NAME="PSU__CORE13_USER19" VALUE="22"/>
<PARAMETER NAME="PSU__CORE11_USER17" VALUE="22"/>
<PARAMETER NAME="PSU__GPU31_USER22" VALUE="22"/>
<PARAMETER NAME="PSU__IOU19_USER12" VALUE="20"/>
<PARAMETER NAME="PSU__IOU17_USER13" VALUE="21"/>
<PARAMETER NAME="PSU__IOU18_USER16_IN" VALUE="2"/>
<PARAMETER NAME="PSU__IOU18_USER16_OUT" VALUE="1"/>
<PARAMETER NAME="PSU__RATIO_EMIO" VALUE="8"/>
<PARAMETER NAME="PSU__USER21_MEMC15" VALUE="24"/>
<PARAMETER NAME="PSU__USER40_MEMC35" VALUE="24"/>
<PARAMETER NAME="PSU__USER41_MEMC34" VALUE="24"/>
<PARAMETER NAME="PSU__USER20_MEMC36" VALUE="23"/>
<PARAMETER NAME="PSU__USE__AUDIO" VALUE="1"/>
<PARAMETER NAME="PSU__USE__VIDEO" VALUE="1"/>
<PARAMETER NAME="PSU__USE__PROC_EVENT_BUS" VALUE="0"/>
<PARAMETER NAME="PSU__USE__FTM" VALUE="0"/>
<PARAMETER NAME="PSU__USE__GDMA" VALUE="1"/>
<PARAMETER NAME="PSU__USE__CLK" VALUE="1"/>
<PARAMETER NAME="PSU__USE__IRQ" VALUE="0"/>
<PARAMETER NAME="PSU__USE__IRQ0" VALUE="1"/>
<PARAMETER NAME="PSU__USE__IRQ1" VALUE="1"/>
<PARAMETER NAME="PSU__USE__CLK0" VALUE="1"/>
<PARAMETER NAME="PSU__USE__CLK1" VALUE="1"/>
<PARAMETER NAME="PSU__USE__CLK2" VALUE="1"/>
<PARAMETER NAME="PSU__USE__CLK3" VALUE="1"/>
<PARAMETER NAME="PSU__USE__RST0" VALUE="1"/>
<PARAMETER NAME="PSU__USE__RST1" VALUE="1"/>
<PARAMETER NAME="PSU__USE__RST2" VALUE="1"/>
<PARAMETER NAME="PSU__USE__RST3" VALUE="1"/>
<PARAMETER NAME="PSU__USE__RTC" VALUE="1"/>
<PARAMETER NAME="PSU__USE__EVENT_RPU" VALUE="0"/>
<PARAMETER NAME="PSU__USE__STM" VALUE="0"/>
<PARAMETER NAME="PSU__HIGH_ADDRESS__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__EXPAND__LOWER_LPS_SLAVES" VALUE="0"/>
<PARAMETER NAME="PSU__EXPAND__CORESIGHT" VALUE="0"/>
<PARAMETER NAME="PSU__EXPAND__GIC" VALUE="0"/>
<PARAMETER NAME="PSU__EXPAND__FPD_SLAVES" VALUE="0"/>
<PARAMETER NAME="PSU__EXPAND__UPPER_LPS_SLAVES" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_0_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_0_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_0_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_0_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_0_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_0_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_0_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_1_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_1_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_1_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_1_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_1_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_1_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_1_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_2_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_2_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_2_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_2_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_2_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_2_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_2_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_3_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_3_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_3_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_3_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_3_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_3_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_3_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_4_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_4_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_4_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_4_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_4_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_4_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_4_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_5_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_5_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_5_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_5_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_5_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_5_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_5_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_6_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_6_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_6_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_6_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_6_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_6_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_6_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_7_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_7_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_7_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_7_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_7_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_7_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_7_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_8_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_8_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_8_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_8_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_8_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_8_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_8_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_9_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_9_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_9_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_9_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_9_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_9_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_9_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_10_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_10_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_10_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_10_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_10_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_10_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_10_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_11_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_11_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_11_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_11_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_11_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_11_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_11_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_12_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_12_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_12_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_12_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_12_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_12_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_12_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_13_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_13_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_13_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_13_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_13_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_13_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_13_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_14_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_14_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_14_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_14_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_14_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_14_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_14_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_15_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_15_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_15_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_15_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_15_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_15_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_15_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_16_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_16_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_16_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_16_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_16_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_16_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_16_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_17_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_17_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_17_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_17_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_17_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_17_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_17_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_18_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_18_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_18_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_18_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_18_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_18_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_18_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_19_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_19_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_19_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_19_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_19_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_19_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_19_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_20_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_20_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_20_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_20_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_20_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_20_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_20_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_21_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_21_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_21_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_21_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_21_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_21_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_21_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_22_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_22_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_22_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_22_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_22_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_22_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_22_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_23_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_23_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_23_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_23_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_23_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_23_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_23_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_24_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_24_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_24_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_24_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_24_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_24_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_24_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_25_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_25_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_25_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_25_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_25_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_25_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_25_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_26_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_26_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_26_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_26_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_26_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_26_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_26_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_27_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_27_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_27_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_27_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_27_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_27_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_27_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_28_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_28_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_28_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_28_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_28_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_28_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_28_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_29_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_29_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_29_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_29_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_29_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_29_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_29_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_30_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_30_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_30_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_30_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_30_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_30_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_30_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_31_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_31_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_31_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_31_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_31_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_31_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_31_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_32_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_32_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_32_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_32_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_32_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_32_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_32_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_33_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_33_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_33_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_33_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_33_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_33_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_33_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_34_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_34_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_34_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_34_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_34_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_34_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_34_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_35_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_35_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_35_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_35_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_35_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_35_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_35_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_36_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_36_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_36_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_36_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_36_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_36_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_36_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_37_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_37_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_37_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_37_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_37_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_37_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_37_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_38_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_38_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_38_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_38_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_38_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_38_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_38_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_39_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_39_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_39_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_39_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_39_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_39_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_39_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_40_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_40_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_40_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_40_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_40_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_40_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_40_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_41_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_41_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_41_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_41_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_41_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_41_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_41_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_42_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_42_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_42_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_42_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_42_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_42_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_42_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_43_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_43_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_43_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_43_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_43_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_43_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_43_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_44_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_44_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_44_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_44_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_44_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_44_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_44_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_45_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_45_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_45_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_45_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_45_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_45_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_45_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_46_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_46_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_46_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_46_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_46_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_46_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_46_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_47_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_47_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_47_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_47_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_47_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_47_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_47_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_48_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_48_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_48_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_48_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_48_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_48_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_48_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_49_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_49_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_49_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_49_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_49_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_49_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_49_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_50_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_50_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_50_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_50_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_50_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_50_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_50_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_51_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_51_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_51_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_51_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_51_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_51_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_51_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_52_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_52_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_52_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_52_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_52_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_52_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_52_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_53_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_53_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_53_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_53_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_53_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_53_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_53_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_54_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_54_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_54_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_54_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_54_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_54_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_54_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_55_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_55_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_55_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_55_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_55_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_55_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_55_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_56_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_56_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_56_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_56_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_56_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_56_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_56_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_57_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_57_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_57_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_57_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_57_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_57_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_57_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_58_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_58_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_58_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_58_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_58_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_58_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_58_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_59_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_59_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_59_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_59_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_59_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_59_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_59_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_60_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_60_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_60_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_60_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_60_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_60_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_60_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_61_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_61_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_61_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_61_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_61_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_61_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_61_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_62_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_62_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_62_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_62_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_62_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_62_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_62_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_63_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_63_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_63_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_63_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_63_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_63_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_63_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_64_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_64_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_64_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_64_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_64_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_64_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_64_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_65_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_65_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_65_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_65_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_65_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_65_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_65_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_66_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_66_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_66_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_66_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_66_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_66_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_66_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_67_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_67_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_67_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_67_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_67_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_67_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_67_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_68_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_68_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_68_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_68_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_68_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_68_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_68_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_69_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_69_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_69_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_69_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_69_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_69_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_69_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_70_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_70_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_70_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_70_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_70_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_70_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_70_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_71_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_71_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_71_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_71_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_71_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_71_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_71_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_72_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_72_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_72_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_72_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_72_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_72_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_72_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_73_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_73_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_73_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_73_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_73_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_73_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_73_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_74_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_74_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_74_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_74_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_74_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_74_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_74_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU_MIO_75_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_75_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_75_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_75_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_75_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_75_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_75_DIRECTION" VALUE="out"/>
<PARAMETER NAME="PSU_MIO_76_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_76_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_76_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_76_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_76_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_76_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_76_DIRECTION" VALUE="in"/>
<PARAMETER NAME="PSU_MIO_77_PULL_ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_77_PULLUPDOWN" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_77_DRIVE0" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_77_DRIVE1" VALUE="1"/>
<PARAMETER NAME="PSU_MIO_77_INPUT_TYPE" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_77_SLEW" VALUE="0"/>
<PARAMETER NAME="PSU_MIO_77_DIRECTION" VALUE="inout"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_CTRL__DIV2" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_CTRL__DIV2" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_CTRL__DIV2" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_CTRL__DIV2" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_CTRL__DIV2" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_CTRL__FBDIV" VALUE="60"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_CTRL__FBDIV" VALUE="60"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_CTRL__FBDIV" VALUE="63"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_TO_LPD_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_TO_LPD_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_TO_LPD_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRF_APB__ACPU_CTRL__DIVISOR0" VALUE="250"/>
<PARAMETER NAME="PSU__CRF_APB__ACPU__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TRACE_CTRL__DIVISOR0" VALUE="500"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TRACE__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__DISPLAYPORT__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_FPD_CTRL__DIVISOR0" VALUE="500"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_FPD__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__APM_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRF_APB__DP_VIDEO_REF_CTRL__DIVISOR1" VALUE="21"/>
<PARAMETER NAME="PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR0" VALUE="42"/>
<PARAMETER NAME="PSU__CRF_APB__DP_AUDIO_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR0" VALUE="42"/>
<PARAMETER NAME="PSU__CRF_APB__DP_STC_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRF_APB__DDR_CTRL__DIVISOR0" VALUE="10"/>
<PARAMETER NAME="PSU__CRF_APB__GPU_REF_CTRL__DIVISOR0" VALUE="525"/>
<PARAMETER NAME="PSU__CRF_APB__GPU__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI0_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI0_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI1_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI1_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI2_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI2_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI3_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI3_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI4_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI4_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI5_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__AFI5_REF__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__SATA_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__SATA__PERIPHERAL__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CRF_APB__PCIE_REF_CTRL__DIVISOR0" VALUE="125"/>
<PARAMETER NAME="PSU__CRL_APB__PL0_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL1_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL2_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL3_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL0_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL1_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL2_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PL3_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__AMS_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__AMS_REF_CTRL__DIVISOR1" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__AMS__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__TIMESTAMP_REF_CTRL__DIVISOR0" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__TIMESTAMP__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__AFI6_REF_CTRL__DIVISOR0" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__AFI6__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR0" VALUE="8"/>
<PARAMETER NAME="PSU__CRL_APB__USB3_DUAL_REF_CTRL__DIVISOR1" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__USB3__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__GDMA_REF_CTRL__DIVISOR0" VALUE="3"/>
<PARAMETER NAME="PSU__CRF_APB__GDMA__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DPDMA_REF_CTRL__DIVISOR0" VALUE="3"/>
<PARAMETER NAME="PSU__CRF_APB__DPDMA__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_MAIN_CTRL__DIVISOR0" VALUE="3"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_MAIN__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_LSBUS_CTRL__DIVISOR0" VALUE="20"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_LSBUS__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__GTGREF0_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRF_APB__GTGREF0__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TSTMP_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TSTMP__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_CTRL__FBDIV" VALUE="60"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_CTRL__FBDIV" VALUE="48"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_TO_FPD_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_TO_FPD_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__GEM0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__GEM1_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__GEM2_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__GEM3_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__GEM_TSU_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__USB0_BUS_REF_CTRL__DIVISOR1" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__USB1_BUS_REF_CTRL__DIVISOR1" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__QSPI_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO1_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__UART0_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__UART0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__UART1_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__UART1_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__I2C0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__I2C1_REF_CTRL__DIVISOR1" VALUE="10"/>
<PARAMETER NAME="PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__SPI0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__SPI1_REF_CTRL__DIVISOR1" VALUE="10"/>
<PARAMETER NAME="PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__CAN0_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR0" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__CAN1_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__CPU_R5_CTRL__DIVISOR0" VALUE="500"/>
<PARAMETER NAME="PSU__CPU_R5__PERIPHERAL__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__OCM_MAIN_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__OCM_MAIN__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__IOU_SWITCH_CTRL__DIVISOR0" VALUE="6"/>
<PARAMETER NAME="PSU__CRL_APB__IOU_SWITCH__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__CSU_PLL_CTRL__DIVISOR0" VALUE="13"/>
<PARAMETER NAME="PSU__CRL_APB__PCAP_CTRL__DIVISOR0" VALUE="8"/>
<PARAMETER NAME="PSU__CRL_APB__PCAP__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_LSBUS_CTRL__DIVISOR0" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_LSBUS__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_SWITCH_CTRL__DIVISOR0" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_SWITCH__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__DBG_LPD_CTRL__DIVISOR0" VALUE="400"/>
<PARAMETER NAME="PSU__CRL_APB__DBG_LPD__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__NAND_REF_CTRL__DIVISOR0" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__NAND_REF_CTRL__DIVISOR1" VALUE="2"/>
<PARAMETER NAME="PSU__CRL_APB__ADMA_REF_CTRL__DIVISOR0" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__ADMA__ENABLE" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DFT300_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DFT270_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DFT250_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DFT125_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_REF_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_TEMP_CTRL__DIVISOR0" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_TO_LPD_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_TO_LPD_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_TO_LPD_CTRL__SRCSEL" VALUE="VPLL"/>
<PARAMETER NAME="PSU__CRF_APB__ACPU_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TRACE_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_FPD_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__APM_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRF_APB__DP_VIDEO_REF_CTRL__SRCSEL" VALUE="VPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DP_AUDIO_REF_CTRL__SRCSEL" VALUE="VPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DP_STC_REF_CTRL__SRCSEL" VALUE="VPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DDR_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__GPU_REF_CTRL__SRCSEL" VALUE="VPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI0_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI1_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI2_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI3_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI4_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__AFI5_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__SATA_REF_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__PCIE_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PL0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PL1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PL2_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PL3_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRF_APB__GDMA_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DPDMA_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_MAIN_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_LSBUS_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__GTGREF0_REF_CTRL__SRCSEL" VALUE="1"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TSTMP_CTRL__SRCSEL" VALUE="1"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_TO_FPD_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_TO_FPD_CTRL__SRCSEL" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRL_APB__GEM0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__GEM1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__GEM2_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__GEM3_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__GEM_TSU_REF_CTRL__SRCSEL" VALUE="RPLL"/>
<PARAMETER NAME="PSU__CRL_APB__USB0_BUS_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__USB1_BUS_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__QSPI_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__UART0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__UART1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__I2C0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__I2C1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__SPI0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__SPI1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__CAN0_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__CAN1_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__SRCSEL" VALUE="RPLL"/>
<PARAMETER NAME="PSU__CRL_APB__CPU_R5_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__OCM_MAIN_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__IOU_SWITCH_CTRL__SRCSEL" VALUE="RPLL"/>
<PARAMETER NAME="PSU__CRL_APB__CSU_PLL_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PCAP_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_LSBUS_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_SWITCH_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__DBG_LPD_CTRL__SRCSEL" VALUE="RPLL"/>
<PARAMETER NAME="PSU__CRL_APB__NAND_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__ADMA_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DFT300_REF_CTRL__SRCSEL" VALUE="DPLL"/>
<PARAMETER NAME="PSU__CRF_APB__DFT270_REF_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__DFT250_REF_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRF_APB__DFT125_REF_CTRL__SRCSEL" VALUE="APLL"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_TEMP_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__DLL_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__AMS_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__TIMESTAMP_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__AFI6_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRL_APB__USB3_DUAL_REF_CTRL__SRCSEL" VALUE="IOPLL"/>
<PARAMETER NAME="PSU__CRF_APB__APLL_FRAC_CFG__ENABLED" VALUE="0"/>
<PARAMETER NAME="PSU__CRF_APB__VPLL_FRAC_CFG__ENABLED" VALUE="0"/>
<PARAMETER NAME="PSU__CRF_APB__DPLL_FRAC_CFG__ENABLED" VALUE="0"/>
<PARAMETER NAME="PSU__CRL_APB__IOPLL_FRAC_CFG__ENABLED" VALUE="0"/>
<PARAMETER NAME="PSU__CRL_APB__RPLL_FRAC_CFG__ENABLED" VALUE="0"/>
<PARAMETER NAME="PSU__OVERRIDE__BASIC_CLOCK" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CRF_APB__ACPU_CTRL__ACT_FREQMHZ" VALUE="8.000"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TRACE_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_FPD_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRF_APB__APM_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__DP_VIDEO_REF_CTRL__ACT_FREQMHZ" VALUE="2.000"/>
<PARAMETER NAME="PSU__CRF_APB__DP_AUDIO_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRF_APB__DP_STC_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRF_APB__DDR_CTRL__ACT_FREQMHZ" VALUE="200.000"/>
<PARAMETER NAME="PSU__CRF_APB__GPU_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI0_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI1_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI2_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI3_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI4_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__AFI5_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__SATA_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__PCIE_REF_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRL_APB__PL0_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PL1_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PL2_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PL3_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__GDMA_REF_CTRL__ACT_FREQMHZ" VALUE="666.666"/>
<PARAMETER NAME="PSU__CRF_APB__DPDMA_REF_CTRL__ACT_FREQMHZ" VALUE="666.666"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_MAIN_CTRL__ACT_FREQMHZ" VALUE="666.666"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_LSBUS_CTRL__ACT_FREQMHZ" VALUE="100.000"/>
<PARAMETER NAME="PSU__CRF_APB__GTGREF0_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TSTMP_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__GEM0_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__GEM1_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__GEM2_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__GEM3_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__GEM_TSU_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__USB0_BUS_REF_CTRL__ACT_FREQMHZ" VALUE="40.000"/>
<PARAMETER NAME="PSU__CRL_APB__USB1_BUS_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__QSPI_REF_CTRL__ACT_FREQMHZ" VALUE="20.000"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO0_REF_CTRL__ACT_FREQMHZ" VALUE="20.000"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO1_REF_CTRL__ACT_FREQMHZ" VALUE="20.000"/>
<PARAMETER NAME="PSU__CRL_APB__UART0_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__UART1_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__I2C0_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__I2C1_REF_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRL_APB__SPI0_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__SPI1_REF_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRL_APB__CAN0_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__CAN1_REF_CTRL__ACT_FREQMHZ" VALUE="25.000"/>
<PARAMETER NAME="PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__CPU_R5_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRL_APB__OCM_MAIN_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__IOU_SWITCH_CTRL__ACT_FREQMHZ" VALUE="266.666"/>
<PARAMETER NAME="PSU__CRL_APB__CSU_PLL_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PCAP_CTRL__ACT_FREQMHZ" VALUE="250.000"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_LSBUS_CTRL__ACT_FREQMHZ" VALUE="100.000"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_SWITCH_CTRL__ACT_FREQMHZ" VALUE="499.999"/>
<PARAMETER NAME="PSU__CRL_APB__DBG_LPD_CTRL__ACT_FREQMHZ" VALUE="4.000"/>
<PARAMETER NAME="PSU__CRL_APB__NAND_REF_CTRL__ACT_FREQMHZ" VALUE="20.000"/>
<PARAMETER NAME="PSU__CRL_APB__ADMA_REF_CTRL__ACT_FREQMHZ" VALUE="499.999"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_TEMP_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__DLL_REF_CTRL__ACT_FREQMHZ"/>
<PARAMETER NAME="PSU__CRL_APB__AMS_REF_CTRL__ACT_FREQMHZ" VALUE="50.000"/>
<PARAMETER NAME="PSU__CRL_APB__TIMESTAMP_REF_CTRL__ACT_FREQMHZ" VALUE="100.000"/>
<PARAMETER NAME="PSU__CRL_APB__AFI6_REF_CTRL__ACT_FREQMHZ" VALUE="499.999"/>
<PARAMETER NAME="PSU__CRL_APB__USB3_DUAL_REF_CTRL__ACT_FREQMHZ" VALUE="250.000"/>
<PARAMETER NAME="PSU__CRF_APB__ACPU_CTRL__FREQMHZ" VALUE="8"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TRACE_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_FPD_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRF_APB__APM_CTRL__FREQMHZ"/>
<PARAMETER NAME="PSU__CRF_APB__DP_VIDEO_REF_CTRL__FREQMHZ" VALUE="2"/>
<PARAMETER NAME="PSU__CRF_APB__DP_AUDIO_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRF_APB__DP_STC_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRF_APB__DDR_CTRL__FREQMHZ" VALUE="200"/>
<PARAMETER NAME="PSU__CRF_APB__GPU_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRF_APB__AFI0_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__AFI1_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__AFI2_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__AFI3_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__AFI4_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__AFI5_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__SATA_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRF_APB__PCIE_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__PL0_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__PL1_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__PL2_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__PL3_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRF_APB__GDMA_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__DPDMA_REF_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_MAIN_CTRL__FREQMHZ" VALUE="667"/>
<PARAMETER NAME="PSU__CRF_APB__TOPSW_LSBUS_CTRL__FREQMHZ" VALUE="100"/>
<PARAMETER NAME="PSU__CRF_APB__GTGREF0_REF_CTRL__FREQMHZ" VALUE="125"/>
<PARAMETER NAME="PSU__CRF_APB__DBG_TSTMP_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__GEM0_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__GEM1_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__GEM2_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__GEM3_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__GEM_TSU_REF_CTRL__FREQMHZ" VALUE="400"/>
<PARAMETER NAME="PSU__CRL_APB__USB0_BUS_REF_CTRL__FREQMHZ" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__USB1_BUS_REF_CTRL__FREQMHZ" VALUE="40"/>
<PARAMETER NAME="PSU__CRL_APB__QSPI_REF_CTRL__FREQMHZ" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO0_REF_CTRL__FREQMHZ" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__SDIO1_REF_CTRL__FREQMHZ" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__UART0_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__UART1_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__I2C0_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__I2C1_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__SPI0_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__SPI1_REF_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__CAN0_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__CAN1_REF_CTRL__FREQMHZ" VALUE="25"/>
<PARAMETER NAME="PSU__CRL_APB__DEBUG_R5_ATCLK_CTRL__FREQMHZ" VALUE="1000"/>
<PARAMETER NAME="PSU__CRL_APB__CPU_R5_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__OCM_MAIN_CTRL__FREQMHZ" VALUE="500"/>
<PARAMETER NAME="PSU__CRL_APB__IOU_SWITCH_CTRL__FREQMHZ" VALUE="267"/>
<PARAMETER NAME="PSU__CRL_APB__CSU_PLL_CTRL__FREQMHZ" VALUE="500"/>
<PARAMETER NAME="PSU__CRL_APB__PCAP_CTRL__FREQMHZ" VALUE="250"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_LSBUS_CTRL__FREQMHZ" VALUE="100"/>
<PARAMETER NAME="PSU__CRL_APB__LPD_SWITCH_CTRL__FREQMHZ" VALUE="500"/>
<PARAMETER NAME="PSU__CRL_APB__DBG_LPD_CTRL__FREQMHZ" VALUE="4"/>
<PARAMETER NAME="PSU__CRL_APB__NAND_REF_CTRL__FREQMHZ" VALUE="20"/>
<PARAMETER NAME="PSU__CRL_APB__ADMA_REF_CTRL__FREQMHZ" VALUE="500"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_REF_CTRL__FREQMHZ" VALUE="1300"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_CTRL__FREQMHZ" VALUE="1300"/>
<PARAMETER NAME="PSU__CRL_APB__PICDEBUG_TEMP_CTRL__FREQMHZ" VALUE="300"/>
<PARAMETER NAME="PSU__CRL_APB__DLL_REF_CTRL__FREQMHZ" VALUE="1500"/>
<PARAMETER NAME="PSU__CRL_APB__AMS_REF_CTRL__FREQMHZ" VALUE="50"/>
<PARAMETER NAME="PSU__CRL_APB__TIMESTAMP_REF_CTRL__FREQMHZ" VALUE="100"/>
<PARAMETER NAME="PSU__CRL_APB__AFI6_REF_CTRL__FREQMHZ" VALUE="500"/>
<PARAMETER NAME="PSU__CRL_APB__USB3_DUAL_REF_CTRL__FREQMHZ" VALUE="20"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_0__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_1__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_2__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_3__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_4__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_5__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_6__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_7__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_8__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_9__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_10__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_11__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_12__ENABLE" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_0__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_1__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_2__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_3__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_4__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_5__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_6__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_7__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_8__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_9__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_10__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_11__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_12__ERASE_BBRAM" VALUE="0"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_0__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_1__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_2__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_3__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_4__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_5__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_6__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_7__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_8__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_9__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_10__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_11__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__CSU__CSU_TAMPER_12__RESPONSE" VALUE="&lt;Select>"/>
<PARAMETER NAME="PSU__IRQ_P2F_RPU_PERMON__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_OCM_ERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_LPD_APB__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_R5_CORE0_ECC_ERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_R5_CORE1_ECC_ERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_NAND__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_QSPI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_GPIO__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_I2C0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_I2C1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SPI0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SPI1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_UART0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_UART1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CAN0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CAN1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_LPD_APM__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_RTC_ALARM__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_RTC_SECONDS__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CLKMON__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_PL_IPI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_RPU_IPI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_IPI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC0__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC0__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC0__INT2" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC1__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC1__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC1__INT2" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC2__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC2__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC2__INT2" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC3__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC3__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_TTC3__INT2" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SDIO0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SDIO1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SDIO0_WAKE__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SDIO1_WAKE__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_LP_WDT__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CSUPMU_WDT__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ATB_LPD__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_AIB_AXI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_AMS__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT0__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT0_WAKEUP__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT1__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT1_WAKEUP__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT2__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT2_WAKEUP__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT3__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ENT3_WAKEUP__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_USB3_ENDPOINT__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_USB3_OTG__INT0" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_USB3_ENDPOINT__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_USB3_OTG__INT1" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_USB3_PMU_WAKEUP__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_ADMA_CHAN__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CSU__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_CSU_DMA__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_EFUSE__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_XMPU_LPD__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_DDR_SS__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_FP_WDT__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_PCIE_MSI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_PCIE_LEGACY__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_PCIE_DMA__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_PCIE_MSC__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_DPORT__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_FPD_APB__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_FPD_ATB_ERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_DPDMA__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APM_FPD__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_GDMA_CHAN__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_GPU__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_SATA__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_XMPU_FPD__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_CPUMNT__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_CTI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_PMU__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_COMM__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_L2ERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_EXTERR__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F_APU_REGS__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F__INTF_PPD_CCI__INT" VALUE="0"/>
<PARAMETER NAME="PSU__IRQ_P2F__INTF_FPD_SMMU__INT" VALUE="0"/>
<PARAMETER NAME="Component_Name" VALUE="design_1_processing_system8_0_0"/>
<PARAMETER NAME="EDK_IPTYPE" VALUE="PERIPHERAL"/>
</PARAMETERS>
<PORTS>
<PORT CLKFREQUENCY="4" DIR="O" NAME="pl_clk0" SIGIS="clk"/>
<PORT CLKFREQUENCY="4" DIR="O" NAME="pl_clk1" SIGIS="clk"/>
<PORT CLKFREQUENCY="4" DIR="O" NAME="pl_clk2" SIGIS="clk"/>
<PORT CLKFREQUENCY="4" DIR="O" NAME="pl_clk3" SIGIS="clk"/>
<PORT DIR="O" NAME="pl_rst0_n" SIGIS="rst"/>
<PORT DIR="O" NAME="pl_rst1_n" SIGIS="rst"/>
<PORT DIR="O" NAME="pl_rst2_n" SIGIS="rst"/>
<PORT DIR="O" NAME="pl_rst3_n" SIGIS="rst"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="maxigp0_aclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="O" LEFT="15" NAME="maxigp0_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="39" NAME="maxigp0_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="maxigp0_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp0_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="maxigp0_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_awlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp0_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp0_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_awvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp0_awuser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_awready" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="maxigp0_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp0_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_wlast" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_wvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_wready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="maxigp0_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="maxigp0_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_bvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_bready" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp0_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="39" NAME="maxigp0_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="maxigp0_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp0_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="maxigp0_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_arlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp0_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp0_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_arvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp0_aruser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_arready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="maxigp0_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="maxigp0_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="maxigp0_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_rlast" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp0_rvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp0_rready" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp0_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp0_arqos" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="maxigp1_aclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="O" LEFT="15" NAME="maxigp1_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="39" NAME="maxigp1_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="maxigp1_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp1_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="maxigp1_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_awlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp1_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp1_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_awvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp1_awuser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_awready" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="maxigp1_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp1_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_wlast" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_wvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_wready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="maxigp1_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="maxigp1_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_bvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_bready" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp1_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="39" NAME="maxigp1_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="maxigp1_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp1_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="maxigp1_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_arlock" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp1_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="maxigp1_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_arvalid" SIGIS="undef"/>
<PORT DIR="O" LEFT="15" NAME="maxigp1_aruser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_arready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="maxigp1_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="maxigp1_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="maxigp1_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_rlast" SIGIS="undef"/>
<PORT DIR="I" NAME="maxigp1_rvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="maxigp1_rready" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp1_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="maxigp1_arqos" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp0_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp0_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp0_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp0_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp0_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp0_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp0_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp0_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp0_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp0_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp0_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp0_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp0_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp0_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp0_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp0_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp0_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp0_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp0_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp0_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp0_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp0_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp0_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp0_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp0_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp0_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp0_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp0_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp0_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp0_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp0_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp0_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp1_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp1_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp1_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp1_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp1_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp1_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp1_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp1_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp1_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp1_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp1_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp1_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp1_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp1_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp1_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp1_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp1_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp1_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp1_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp1_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp1_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp1_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp1_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp1_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp1_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp1_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp1_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp1_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp1_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp1_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp1_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp1_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp2_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp2_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp2_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp2_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp2_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp2_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp2_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp2_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp2_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp2_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp2_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp2_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp2_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp2_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp2_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp2_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp2_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp2_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp2_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp2_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp2_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp2_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp2_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp2_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp2_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp2_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp2_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp2_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp2_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp2_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp2_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp2_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp3_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp3_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp3_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp3_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp3_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp3_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp3_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp3_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp3_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp3_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp3_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp3_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp3_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp3_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp3_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp3_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp3_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp3_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp3_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp3_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp3_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp3_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp3_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp3_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp3_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp3_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp3_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp3_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp3_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp3_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp3_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp3_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp4_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp4_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp4_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp4_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp4_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp4_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp4_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp4_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp4_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp4_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp4_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp4_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp4_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp4_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp4_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp4_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp4_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp4_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp4_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp4_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp4_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp4_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp4_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp4_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp4_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp4_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp4_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp4_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp4_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp4_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp4_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp4_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp5_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp5_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp5_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp5_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp5_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp5_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp5_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp5_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp5_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp5_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp5_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp5_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp5_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp5_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp5_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp5_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp5_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp5_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp5_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp5_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp5_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp5_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp5_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp5_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp5_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp5_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp5_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp5_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp5_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp5_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp5_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp5_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp6_rclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxigp6_wclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="saxigp6_aruser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_awuser" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp6_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp6_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp6_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp6_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp6_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp6_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp6_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxigp6_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxigp6_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp6_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp6_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="saxigp6_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="48" NAME="saxigp6_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxigp6_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp6_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxigp6_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp6_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxigp6_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="saxigp6_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxigp6_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxigp6_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxigp6_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxigp6_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp6_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxigp6_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp6_rcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="saxigp6_wcount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp6_racount" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="saxigp6_wacount" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="saxiacp_aclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" LEFT="1" NAME="saxiacp_awuser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxiacp_aruser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_buser" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_wuser" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_ruser" SIGIS="undef"/>
<PORT DIR="I" LEFT="4" NAME="saxiacp_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="39" NAME="saxiacp_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxiacp_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxiacp_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxiacp_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_awlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxiacp_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxiacp_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="saxiacp_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="saxiacp_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="4" NAME="saxiacp_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxiacp_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="4" NAME="saxiacp_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="39" NAME="saxiacp_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="saxiacp_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxiacp_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="saxiacp_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_arlock" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxiacp_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="saxiacp_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="4" NAME="saxiacp_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="saxiacp_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="saxiacp_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="saxiacp_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="saxiacp_rready" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxiacp_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="saxiacp_arqos" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="sacefpd_aclk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="sacefpd_wuser" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_buser" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_ruser" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="sacefpd_awuser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="sacefpd_awsnoop" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="sacefpd_awsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_awregion" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_awqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="sacefpd_awprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="sacefpd_awlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="sacefpd_awid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_awdomain" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_awcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_awburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_awbar" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="43" NAME="sacefpd_awaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_awlock" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_awvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_awready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="sacefpd_wstrb" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="sacefpd_wdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_wlast" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_wvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_wready" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="sacefpd_bresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="sacefpd_bid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_bvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_bready" SIGIS="undef"/>
<PORT DIR="I" LEFT="15" NAME="sacefpd_aruser" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_arsnoop" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="sacefpd_arsize" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_arregion" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_arqos" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="sacefpd_arprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="sacefpd_arlen" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="5" NAME="sacefpd_arid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_ardomain" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="sacefpd_arcache" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_arburst" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="sacefpd_arbar" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="43" NAME="sacefpd_araddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_arlock" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_arvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_arready" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="sacefpd_rresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="5" NAME="sacefpd_rid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="127" NAME="sacefpd_rdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_rlast" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_rvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_rready" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="sacefpd_acsnoop" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="sacefpd_acprot" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="43" NAME="sacefpd_acaddr" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_acvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_acready" SIGIS="undef"/>
<PORT DIR="I" LEFT="127" NAME="sacefpd_cddata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_cdlast" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_cdvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_cdready" SIGIS="undef"/>
<PORT DIR="I" LEFT="4" NAME="sacefpd_crresp" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_crvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="sacefpd_crready" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_wack" SIGIS="undef"/>
<PORT DIR="I" NAME="sacefpd_rack" SIGIS="undef"/>
<PORT DIR="I" NAME="ref_clk_in_p" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="ref_clk_in_n" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="sys_1x_clk_in_p" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="sys_1x_clk_in_n" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="sys_2x_clk_in_p" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="sys_2x_clk_in_n" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="serdes_clk_in_p" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="serdes_clk_in_n" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="IO" LEFT="3" NAME="global_reset" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="config_loop_in" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="O" NAME="config_loop_out" SIGIS="undef"/>
<PORT DIR="I" NAME="rx_clk_iou17_user_13_p" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="rx_clk_iou17_user_13_n" SIGIS="undef" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="O" NAME="tx_clk_iou19_user_12_p" SIGIS="undef"/>
<PORT DIR="O" NAME="tx_clk_iou19_user_12_n" SIGIS="undef"/>
<PORT DIR="O" NAME="systemrst_b" SIGIS="undef"/>
<PORT DIR="O" NAME="sysclk_25" SIGIS="undef"/>
<PORT DIR="O" LEFT="10" NAME="cpu11_user42_out_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="10" NAME="cpu11_user42_out_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="10" NAME="cpu11_user42_in_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="10" NAME="cpu11_user42_in_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core33_user39_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core33_user39_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="core32_user38_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="core32_user38_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core12_user18_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core12_user18_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core31_user37_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="core31_user37_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="21" NAME="core13_user19_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="21" NAME="core13_user19_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="21" NAME="core11_user17_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="21" NAME="core11_user17_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="21" NAME="gpu31_user22_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="21" NAME="gpu31_user22_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="19" NAME="iou19_user12_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="19" NAME="iou19_user12_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="20" NAME="iou17_user13_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="20" NAME="iou17_user13_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="iou18_user16_in_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="iou18_user16_in_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="0" NAME="iou18_user16_out_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="0" NAME="iou18_user16_out_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="user21_memc15_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="user21_memc15_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="user40_memc35_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="23" NAME="user40_memc35_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="user41_memc34_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="23" NAME="user41_memc34_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="22" NAME="user20_memc36_p" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="22" NAME="user20_memc36_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_can0_phy_tx" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_can0_phy_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_can1_phy_tx" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_can1_phy_rx" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_rx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_enet0_speed_mode" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_crs" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_col" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet0_gmii_rxd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_rx_er" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_rx_dv" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_gmii_tx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet0_gmii_txd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_gmii_tx_en" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_gmii_tx_er" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_mdio_mdc" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_mdio_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_mdio_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_mdio_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_rx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_enet1_speed_mode" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_crs" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_col" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet1_gmii_rxd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_rx_er" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_rx_dv" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_gmii_tx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet1_gmii_txd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_gmii_tx_en" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_gmii_tx_er" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_mdio_mdc" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_mdio_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_mdio_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_mdio_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_rx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_enet2_speed_mode" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_crs" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_col" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet2_gmii_rxd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_rx_er" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_rx_dv" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_gmii_tx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet2_gmii_txd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_gmii_tx_en" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_gmii_tx_er" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_mdio_mdc" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_mdio_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_mdio_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_mdio_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_rx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_enet3_speed_mode" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_crs" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_col" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet3_gmii_rxd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_rx_er" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_rx_dv" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_gmii_tx_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet3_gmii_txd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_gmii_tx_en" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_gmii_tx_er" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_mdio_mdc" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_mdio_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_mdio_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_mdio_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_data_rdy" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_tx_r_rd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_valid" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet0_tx_r_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_sop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_eop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_underflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_flushed" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_tx_r_control" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_dma_tx_end_tog" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_dma_tx_status_tog" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="emio_enet0_tx_r_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_w_wr" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet0_rx_w_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_w_sop" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_w_eop" SIGIS="undef"/>
<PORT DIR="O" LEFT="44" NAME="emio_enet0_rx_w_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_w_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_rx_w_overflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_signal_detect" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_w_flush" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_tx_r_fixed_lat" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_data_rdy" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_tx_r_rd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_valid" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet1_tx_r_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_sop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_eop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_underflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_flushed" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_tx_r_control" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_dma_tx_end_tog" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_dma_tx_status_tog" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="emio_enet1_tx_r_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_w_wr" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet1_rx_w_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_w_sop" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_w_eop" SIGIS="undef"/>
<PORT DIR="O" LEFT="44" NAME="emio_enet1_rx_w_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_w_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_rx_w_overflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_signal_detect" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_w_flush" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_tx_r_fixed_lat" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_data_rdy" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_tx_r_rd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_valid" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet2_tx_r_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_sop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_eop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_underflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_flushed" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_tx_r_control" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_dma_tx_end_tog" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_dma_tx_status_tog" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="emio_enet2_tx_r_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_w_wr" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet2_rx_w_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_w_sop" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_w_eop" SIGIS="undef"/>
<PORT DIR="O" LEFT="44" NAME="emio_enet2_rx_w_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_w_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_rx_w_overflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_signal_detect" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_w_flush" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_tx_r_fixed_lat" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_data_rdy" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_tx_r_rd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_valid" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="emio_enet3_tx_r_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_sop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_eop" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_underflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_flushed" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_tx_r_control" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_dma_tx_end_tog" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_dma_tx_status_tog" SIGIS="undef"/>
<PORT DIR="O" LEFT="3" NAME="emio_enet3_tx_r_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_w_wr" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="emio_enet3_rx_w_data" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_w_sop" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_w_eop" SIGIS="undef"/>
<PORT DIR="O" LEFT="44" NAME="emio_enet3_rx_w_status" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_w_err" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_rx_w_overflow" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_signal_detect" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_w_flush" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_tx_r_fixed_lat" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_tx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_sync_frame_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_delay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_pdelay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_pdelay_resp_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_rx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_sync_frame_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_delay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_pdelay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_pdelay_resp_rx" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="emio_enet0_tsu_inc_ctrl" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet0_tsu_timer_cmp_val" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_tx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_sync_frame_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_delay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_pdelay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_pdelay_resp_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_rx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_sync_frame_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_delay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_pdelay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_pdelay_resp_rx" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="emio_enet1_tsu_inc_ctrl" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet1_tsu_timer_cmp_val" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_tx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_sync_frame_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_delay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_pdelay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_pdelay_resp_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_rx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_sync_frame_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_delay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_pdelay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_pdelay_resp_rx" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="emio_enet2_tsu_inc_ctrl" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet2_tsu_timer_cmp_val" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_tx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_sync_frame_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_delay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_pdelay_req_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_pdelay_resp_tx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_rx_sof" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_sync_frame_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_delay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_pdelay_req_rx" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_pdelay_resp_rx" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="emio_enet3_tsu_inc_ctrl" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_enet3_tsu_timer_cmp_val" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet_tsu_clk" SIGIS="undef"/>
<PORT DIR="O" LEFT="93" NAME="emio_enet0_enet_tsu_timer_cnt" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet0_ext_int_in" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet1_ext_int_in" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet2_ext_int_in" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_enet3_ext_int_in" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="emio_enet0_dma_bus_width" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="emio_enet1_dma_bus_width" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="emio_enet2_dma_bus_width" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="1" NAME="emio_enet3_dma_bus_width" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="31" NAME="emio_gpio_i" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="31" NAME="emio_gpio_o" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="31" NAME="emio_gpio_t_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_i2c0_scl_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c0_scl_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c0_scl_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_i2c0_sda_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c0_sda_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c0_sda_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_i2c1_scl_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c1_scl_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c1_scl_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_i2c1_sda_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c1_sda_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_i2c1_sda_t_n" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart0_txd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart0_rxd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart0_ctsn" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart0_rtsn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart0_dsrn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart0_dcdn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart0_rin" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart0_dtrn" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart1_txd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart1_rxd" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart1_ctsn" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart1_rtsn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart1_dsrn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart1_dcdn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_uart1_rin" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_uart1_dtrn" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi0_sclk_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_sclk_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_sclk_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi0_m_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_m_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_mo_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi0_s_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_s_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_so_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi0_ss_i_n" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_spi0_ss_o_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi0_ss_n_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi1_sclk_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_sclk_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_sclk_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi1_m_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_m_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_mo_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi1_s_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_s_o" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_so_t_n" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_spi1_ss_i_n" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_spi1_ss_o_n" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_spi1_ss_n_t_n" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_ttc0_wave_o" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="emio_ttc0_clk_i" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_ttc1_wave_o" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="emio_ttc1_clk_i" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_ttc2_wave_o" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="emio_ttc2_clk_i" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="emio_ttc3_wave_o" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="emio_ttc3_clk_i" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_wdt0_clk_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_wdt0_rst_o" SIGIS="undef"/>
<PORT DIR="I" NAME="emio_wdt1_clk_i" SIGIS="undef"/>
<PORT DIR="O" NAME="emio_wdt1_rst_o" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="adma_fci_clk" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="pl2adma_cvld" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="pl2adma_tack" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="adma2pl_cack" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="adma2pl_tvld" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="perif_gdma_clk" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="perif_gdma_cvld" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="perif_gdma_tack" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="gdma_perif_cack" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="7" NAME="gdma_perif_tvld" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="3" NAME="pl_clock_stop" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="1" NAME="pll_aux_refclk_lpd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="pll_aux_refclk_fpd" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="31" NAME="dp_s_axis_audio_tdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="2" NAME="dp_s_axis_audio_tid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_s_axis_audio_tvalid" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_s_axis_audio_tready" SIGIS="undef"/>
<PORT DIR="O" LEFT="31" NAME="dp_m_axis_mixed_audio_tdata" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" LEFT="2" NAME="dp_m_axis_mixed_audio_tid" RIGHT="0" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_m_axis_mixed_audio_tvalid" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_m_axis_mixed_audio_tready" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="I" NAME="dp_s_axis_audio_clk" SIGIS="clk" SIGNAME="External_Ports_maxigp0_aclk">
<CONNECTIONS>
<CONNECTION INSTANCE="External_Ports" PORT="maxigp0_aclk"/>
</CONNECTIONS>
</PORT>
<PORT DIR="I" NAME="dp_live_video_in_vsync" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_live_video_in_hsync" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_live_video_in_de" SIGIS="undef"/>
<PORT DIR="I" LEFT="35" NAME="dp_live_video_in_pixel1" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_video_in_clk" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_video_out_hsync" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_video_out_vsync" SIGIS="undef"/>
<PORT DIR="O" LEFT="35" NAME="dp_video_out_pixel1" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_aux_data_in" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_aux_data_out" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_aux_data_oe_n" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_hot_plug_detect" SIGIS="undef"/>
<PORT DIR="I" NAME="dp_external_vsync_event" SIGIS="undef"/>
<PORT DIR="O" NAME="dp_live_video_de_out" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="pl_ps_irq0" RIGHT="0" SIGIS="undef"/>
<PORT DIR="I" LEFT="7" NAME="pl_ps_irq1" RIGHT="0" SIGIS="undef"/>
<PORT CLKFREQUENCY="100000000" DIR="O" NAME="osc_rtc_clk" SIGIS="clk"/>
<PORT DIR="I" NAME="ddrc_ext_refresh_rank0_req" SIGIS="undef"/>
<PORT DIR="I" NAME="ddrc_ext_refresh_rank1_req" SIGIS="undef"/>
<PORT DIR="I" NAME="ddrc_refresh_pl_clk" SIGIS="undef"/>
<PORT DIR="I" NAME="ddrc_refresh_pl_rst_n" SIGIS="undef"/>
<PORT DIR="I" NAME="pl_acpinact" SIGIS="undef"/>
</PORTS>
<BUSINTERFACES>
<BUSINTERFACE BUSNAME="External_Interface_MAXIGP0" NAME="MAXIGP0" TYPE="MASTER">
<PORTMAPS>
<PORTMAP PHYSICAL="maxigp0_araddr"/>
<PORTMAP PHYSICAL="maxigp0_arburst"/>
<PORTMAP PHYSICAL="maxigp0_arcache"/>
<PORTMAP PHYSICAL="maxigp0_arid"/>
<PORTMAP PHYSICAL="maxigp0_arlen"/>
<PORTMAP PHYSICAL="maxigp0_arlock"/>
<PORTMAP PHYSICAL="maxigp0_arprot"/>
<PORTMAP PHYSICAL="maxigp0_arqos"/>
<PORTMAP PHYSICAL="maxigp0_arready"/>
<PORTMAP PHYSICAL="maxigp0_arsize"/>
<PORTMAP PHYSICAL="maxigp0_aruser"/>
<PORTMAP PHYSICAL="maxigp0_arvalid"/>
<PORTMAP PHYSICAL="maxigp0_awaddr"/>
<PORTMAP PHYSICAL="maxigp0_awburst"/>
<PORTMAP PHYSICAL="maxigp0_awcache"/>
<PORTMAP PHYSICAL="maxigp0_awid"/>
<PORTMAP PHYSICAL="maxigp0_awlen"/>
<PORTMAP PHYSICAL="maxigp0_awlock"/>
<PORTMAP PHYSICAL="maxigp0_awprot"/>
<PORTMAP PHYSICAL="maxigp0_awqos"/>
<PORTMAP PHYSICAL="maxigp0_awready"/>
<PORTMAP PHYSICAL="maxigp0_awsize"/>
<PORTMAP PHYSICAL="maxigp0_awuser"/>
<PORTMAP PHYSICAL="maxigp0_awvalid"/>
<PORTMAP PHYSICAL="maxigp0_bid"/>
<PORTMAP PHYSICAL="maxigp0_bready"/>
<PORTMAP PHYSICAL="maxigp0_bresp"/>
<PORTMAP PHYSICAL="maxigp0_bvalid"/>
<PORTMAP PHYSICAL="maxigp0_rdata"/>
<PORTMAP PHYSICAL="maxigp0_rid"/>
<PORTMAP PHYSICAL="maxigp0_rlast"/>
<PORTMAP PHYSICAL="maxigp0_rready"/>
<PORTMAP PHYSICAL="maxigp0_rresp"/>
<PORTMAP PHYSICAL="maxigp0_rvalid"/>
<PORTMAP PHYSICAL="maxigp0_wdata"/>
<PORTMAP PHYSICAL="maxigp0_wlast"/>
<PORTMAP PHYSICAL="maxigp0_wready"/>
<PORTMAP PHYSICAL="maxigp0_wstrb"/>
<PORTMAP PHYSICAL="maxigp0_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="MAXIGP1" TYPE="MASTER">
<PORTMAPS>
<PORTMAP PHYSICAL="maxigp1_araddr"/>
<PORTMAP PHYSICAL="maxigp1_arburst"/>
<PORTMAP PHYSICAL="maxigp1_arcache"/>
<PORTMAP PHYSICAL="maxigp1_arid"/>
<PORTMAP PHYSICAL="maxigp1_arlen"/>
<PORTMAP PHYSICAL="maxigp1_arlock"/>
<PORTMAP PHYSICAL="maxigp1_arprot"/>
<PORTMAP PHYSICAL="maxigp1_arqos"/>
<PORTMAP PHYSICAL="maxigp1_arready"/>
<PORTMAP PHYSICAL="maxigp1_arsize"/>
<PORTMAP PHYSICAL="maxigp1_aruser"/>
<PORTMAP PHYSICAL="maxigp1_arvalid"/>
<PORTMAP PHYSICAL="maxigp1_awaddr"/>
<PORTMAP PHYSICAL="maxigp1_awburst"/>
<PORTMAP PHYSICAL="maxigp1_awcache"/>
<PORTMAP PHYSICAL="maxigp1_awid"/>
<PORTMAP PHYSICAL="maxigp1_awlen"/>
<PORTMAP PHYSICAL="maxigp1_awlock"/>
<PORTMAP PHYSICAL="maxigp1_awprot"/>
<PORTMAP PHYSICAL="maxigp1_awqos"/>
<PORTMAP PHYSICAL="maxigp1_awready"/>
<PORTMAP PHYSICAL="maxigp1_awsize"/>
<PORTMAP PHYSICAL="maxigp1_awuser"/>
<PORTMAP PHYSICAL="maxigp1_awvalid"/>
<PORTMAP PHYSICAL="maxigp1_bid"/>
<PORTMAP PHYSICAL="maxigp1_bready"/>
<PORTMAP PHYSICAL="maxigp1_bresp"/>
<PORTMAP PHYSICAL="maxigp1_bvalid"/>
<PORTMAP PHYSICAL="maxigp1_rdata"/>
<PORTMAP PHYSICAL="maxigp1_rid"/>
<PORTMAP PHYSICAL="maxigp1_rlast"/>
<PORTMAP PHYSICAL="maxigp1_rready"/>
<PORTMAP PHYSICAL="maxigp1_rresp"/>
<PORTMAP PHYSICAL="maxigp1_rvalid"/>
<PORTMAP PHYSICAL="maxigp1_wdata"/>
<PORTMAP PHYSICAL="maxigp1_wlast"/>
<PORTMAP PHYSICAL="maxigp1_wready"/>
<PORTMAP PHYSICAL="maxigp1_wstrb"/>
<PORTMAP PHYSICAL="maxigp1_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIACP" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxiacp_araddr"/>
<PORTMAP PHYSICAL="saxiacp_arburst"/>
<PORTMAP PHYSICAL="saxiacp_arcache"/>
<PORTMAP PHYSICAL="saxiacp_arid"/>
<PORTMAP PHYSICAL="saxiacp_arlen"/>
<PORTMAP PHYSICAL="saxiacp_arlock"/>
<PORTMAP PHYSICAL="saxiacp_arprot"/>
<PORTMAP PHYSICAL="saxiacp_arqos"/>
<PORTMAP PHYSICAL="saxiacp_arready"/>
<PORTMAP PHYSICAL="saxiacp_arsize"/>
<PORTMAP PHYSICAL="saxiacp_aruser"/>
<PORTMAP PHYSICAL="saxiacp_arvalid"/>
<PORTMAP PHYSICAL="saxiacp_awaddr"/>
<PORTMAP PHYSICAL="saxiacp_awburst"/>
<PORTMAP PHYSICAL="saxiacp_awcache"/>
<PORTMAP PHYSICAL="saxiacp_awid"/>
<PORTMAP PHYSICAL="saxiacp_awlen"/>
<PORTMAP PHYSICAL="saxiacp_awlock"/>
<PORTMAP PHYSICAL="saxiacp_awprot"/>
<PORTMAP PHYSICAL="saxiacp_awqos"/>
<PORTMAP PHYSICAL="saxiacp_awready"/>
<PORTMAP PHYSICAL="saxiacp_awsize"/>
<PORTMAP PHYSICAL="saxiacp_awuser"/>
<PORTMAP PHYSICAL="saxiacp_awvalid"/>
<PORTMAP PHYSICAL="saxiacp_bid"/>
<PORTMAP PHYSICAL="saxiacp_bready"/>
<PORTMAP PHYSICAL="saxiacp_bresp"/>
<PORTMAP PHYSICAL="saxiacp_buser"/>
<PORTMAP PHYSICAL="saxiacp_bvalid"/>
<PORTMAP PHYSICAL="saxiacp_rdata"/>
<PORTMAP PHYSICAL="saxiacp_rid"/>
<PORTMAP PHYSICAL="saxiacp_rlast"/>
<PORTMAP PHYSICAL="saxiacp_rready"/>
<PORTMAP PHYSICAL="saxiacp_rresp"/>
<PORTMAP PHYSICAL="saxiacp_ruser"/>
<PORTMAP PHYSICAL="saxiacp_rvalid"/>
<PORTMAP PHYSICAL="saxiacp_wdata"/>
<PORTMAP PHYSICAL="saxiacp_wlast"/>
<PORTMAP PHYSICAL="saxiacp_wready"/>
<PORTMAP PHYSICAL="saxiacp_wstrb"/>
<PORTMAP PHYSICAL="saxiacp_wuser"/>
<PORTMAP PHYSICAL="saxiacp_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SACEFPD" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="sacefpd_acaddr"/>
<PORTMAP PHYSICAL="sacefpd_acprot"/>
<PORTMAP PHYSICAL="sacefpd_acready"/>
<PORTMAP PHYSICAL="sacefpd_acsnoop"/>
<PORTMAP PHYSICAL="sacefpd_acvalid"/>
<PORTMAP PHYSICAL="sacefpd_araddr"/>
<PORTMAP PHYSICAL="sacefpd_arbar"/>
<PORTMAP PHYSICAL="sacefpd_arburst"/>
<PORTMAP PHYSICAL="sacefpd_arcache"/>
<PORTMAP PHYSICAL="sacefpd_ardomain"/>
<PORTMAP PHYSICAL="sacefpd_arid"/>
<PORTMAP PHYSICAL="sacefpd_arlen"/>
<PORTMAP PHYSICAL="sacefpd_arlock"/>
<PORTMAP PHYSICAL="sacefpd_arprot"/>
<PORTMAP PHYSICAL="sacefpd_arqos"/>
<PORTMAP PHYSICAL="sacefpd_arready"/>
<PORTMAP PHYSICAL="sacefpd_arregion"/>
<PORTMAP PHYSICAL="sacefpd_arsize"/>
<PORTMAP PHYSICAL="sacefpd_arsnoop"/>
<PORTMAP PHYSICAL="sacefpd_aruser"/>
<PORTMAP PHYSICAL="sacefpd_arvalid"/>
<PORTMAP PHYSICAL="sacefpd_awaddr"/>
<PORTMAP PHYSICAL="sacefpd_awbar"/>
<PORTMAP PHYSICAL="sacefpd_awburst"/>
<PORTMAP PHYSICAL="sacefpd_awcache"/>
<PORTMAP PHYSICAL="sacefpd_awdomain"/>
<PORTMAP PHYSICAL="sacefpd_awid"/>
<PORTMAP PHYSICAL="sacefpd_awlen"/>
<PORTMAP PHYSICAL="sacefpd_awlock"/>
<PORTMAP PHYSICAL="sacefpd_awprot"/>
<PORTMAP PHYSICAL="sacefpd_awqos"/>
<PORTMAP PHYSICAL="sacefpd_awready"/>
<PORTMAP PHYSICAL="sacefpd_awregion"/>
<PORTMAP PHYSICAL="sacefpd_awsize"/>
<PORTMAP PHYSICAL="sacefpd_awsnoop"/>
<PORTMAP PHYSICAL="sacefpd_awuser"/>
<PORTMAP PHYSICAL="sacefpd_awvalid"/>
<PORTMAP PHYSICAL="sacefpd_bid"/>
<PORTMAP PHYSICAL="sacefpd_bready"/>
<PORTMAP PHYSICAL="sacefpd_bresp"/>
<PORTMAP PHYSICAL="sacefpd_buser"/>
<PORTMAP PHYSICAL="sacefpd_bvalid"/>
<PORTMAP PHYSICAL="sacefpd_cddata"/>
<PORTMAP PHYSICAL="sacefpd_cdlast"/>
<PORTMAP PHYSICAL="sacefpd_cdready"/>
<PORTMAP PHYSICAL="sacefpd_cdvalid"/>
<PORTMAP PHYSICAL="sacefpd_crready"/>
<PORTMAP PHYSICAL="sacefpd_crresp"/>
<PORTMAP PHYSICAL="sacefpd_crvalid"/>
<PORTMAP PHYSICAL="sacefpd_rack"/>
<PORTMAP PHYSICAL="sacefpd_rdata"/>
<PORTMAP PHYSICAL="sacefpd_rid"/>
<PORTMAP PHYSICAL="sacefpd_rlast"/>
<PORTMAP PHYSICAL="sacefpd_rready"/>
<PORTMAP PHYSICAL="sacefpd_rresp"/>
<PORTMAP PHYSICAL="sacefpd_ruser"/>
<PORTMAP PHYSICAL="sacefpd_rvalid"/>
<PORTMAP PHYSICAL="sacefpd_wack"/>
<PORTMAP PHYSICAL="sacefpd_wdata"/>
<PORTMAP PHYSICAL="sacefpd_wlast"/>
<PORTMAP PHYSICAL="sacefpd_wready"/>
<PORTMAP PHYSICAL="sacefpd_wstrb"/>
<PORTMAP PHYSICAL="sacefpd_wuser"/>
<PORTMAP PHYSICAL="sacefpd_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP0" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp0_araddr"/>
<PORTMAP PHYSICAL="saxigp0_arburst"/>
<PORTMAP PHYSICAL="saxigp0_arcache"/>
<PORTMAP PHYSICAL="saxigp0_arid"/>
<PORTMAP PHYSICAL="saxigp0_arlen"/>
<PORTMAP PHYSICAL="saxigp0_arlock"/>
<PORTMAP PHYSICAL="saxigp0_arprot"/>
<PORTMAP PHYSICAL="saxigp0_arqos"/>
<PORTMAP PHYSICAL="saxigp0_arready"/>
<PORTMAP PHYSICAL="saxigp0_arsize"/>
<PORTMAP PHYSICAL="saxigp0_aruser"/>
<PORTMAP PHYSICAL="saxigp0_arvalid"/>
<PORTMAP PHYSICAL="saxigp0_awaddr"/>
<PORTMAP PHYSICAL="saxigp0_awburst"/>
<PORTMAP PHYSICAL="saxigp0_awcache"/>
<PORTMAP PHYSICAL="saxigp0_awid"/>
<PORTMAP PHYSICAL="saxigp0_awlen"/>
<PORTMAP PHYSICAL="saxigp0_awlock"/>
<PORTMAP PHYSICAL="saxigp0_awprot"/>
<PORTMAP PHYSICAL="saxigp0_awqos"/>
<PORTMAP PHYSICAL="saxigp0_awready"/>
<PORTMAP PHYSICAL="saxigp0_awsize"/>
<PORTMAP PHYSICAL="saxigp0_awuser"/>
<PORTMAP PHYSICAL="saxigp0_awvalid"/>
<PORTMAP PHYSICAL="saxigp0_bid"/>
<PORTMAP PHYSICAL="saxigp0_bready"/>
<PORTMAP PHYSICAL="saxigp0_bresp"/>
<PORTMAP PHYSICAL="saxigp0_bvalid"/>
<PORTMAP PHYSICAL="saxigp0_racount"/>
<PORTMAP PHYSICAL="saxigp0_rcount"/>
<PORTMAP PHYSICAL="saxigp0_rdata"/>
<PORTMAP PHYSICAL="saxigp0_rid"/>
<PORTMAP PHYSICAL="saxigp0_rlast"/>
<PORTMAP PHYSICAL="saxigp0_rready"/>
<PORTMAP PHYSICAL="saxigp0_rresp"/>
<PORTMAP PHYSICAL="saxigp0_rvalid"/>
<PORTMAP PHYSICAL="saxigp0_wacount"/>
<PORTMAP PHYSICAL="saxigp0_wcount"/>
<PORTMAP PHYSICAL="saxigp0_wdata"/>
<PORTMAP PHYSICAL="saxigp0_wlast"/>
<PORTMAP PHYSICAL="saxigp0_wready"/>
<PORTMAP PHYSICAL="saxigp0_wstrb"/>
<PORTMAP PHYSICAL="saxigp0_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP1" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp1_araddr"/>
<PORTMAP PHYSICAL="saxigp1_arburst"/>
<PORTMAP PHYSICAL="saxigp1_arcache"/>
<PORTMAP PHYSICAL="saxigp1_arid"/>
<PORTMAP PHYSICAL="saxigp1_arlen"/>
<PORTMAP PHYSICAL="saxigp1_arlock"/>
<PORTMAP PHYSICAL="saxigp1_arprot"/>
<PORTMAP PHYSICAL="saxigp1_arqos"/>
<PORTMAP PHYSICAL="saxigp1_arready"/>
<PORTMAP PHYSICAL="saxigp1_arsize"/>
<PORTMAP PHYSICAL="saxigp1_aruser"/>
<PORTMAP PHYSICAL="saxigp1_arvalid"/>
<PORTMAP PHYSICAL="saxigp1_awaddr"/>
<PORTMAP PHYSICAL="saxigp1_awburst"/>
<PORTMAP PHYSICAL="saxigp1_awcache"/>
<PORTMAP PHYSICAL="saxigp1_awid"/>
<PORTMAP PHYSICAL="saxigp1_awlen"/>
<PORTMAP PHYSICAL="saxigp1_awlock"/>
<PORTMAP PHYSICAL="saxigp1_awprot"/>
<PORTMAP PHYSICAL="saxigp1_awqos"/>
<PORTMAP PHYSICAL="saxigp1_awready"/>
<PORTMAP PHYSICAL="saxigp1_awsize"/>
<PORTMAP PHYSICAL="saxigp1_awuser"/>
<PORTMAP PHYSICAL="saxigp1_awvalid"/>
<PORTMAP PHYSICAL="saxigp1_bid"/>
<PORTMAP PHYSICAL="saxigp1_bready"/>
<PORTMAP PHYSICAL="saxigp1_bresp"/>
<PORTMAP PHYSICAL="saxigp1_bvalid"/>
<PORTMAP PHYSICAL="saxigp1_racount"/>
<PORTMAP PHYSICAL="saxigp1_rcount"/>
<PORTMAP PHYSICAL="saxigp1_rdata"/>
<PORTMAP PHYSICAL="saxigp1_rid"/>
<PORTMAP PHYSICAL="saxigp1_rlast"/>
<PORTMAP PHYSICAL="saxigp1_rready"/>
<PORTMAP PHYSICAL="saxigp1_rresp"/>
<PORTMAP PHYSICAL="saxigp1_rvalid"/>
<PORTMAP PHYSICAL="saxigp1_wacount"/>
<PORTMAP PHYSICAL="saxigp1_wcount"/>
<PORTMAP PHYSICAL="saxigp1_wdata"/>
<PORTMAP PHYSICAL="saxigp1_wlast"/>
<PORTMAP PHYSICAL="saxigp1_wready"/>
<PORTMAP PHYSICAL="saxigp1_wstrb"/>
<PORTMAP PHYSICAL="saxigp1_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP2" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp2_araddr"/>
<PORTMAP PHYSICAL="saxigp2_arburst"/>
<PORTMAP PHYSICAL="saxigp2_arcache"/>
<PORTMAP PHYSICAL="saxigp2_arid"/>
<PORTMAP PHYSICAL="saxigp2_arlen"/>
<PORTMAP PHYSICAL="saxigp2_arlock"/>
<PORTMAP PHYSICAL="saxigp2_arprot"/>
<PORTMAP PHYSICAL="saxigp2_arqos"/>
<PORTMAP PHYSICAL="saxigp2_arready"/>
<PORTMAP PHYSICAL="saxigp2_arsize"/>
<PORTMAP PHYSICAL="saxigp2_aruser"/>
<PORTMAP PHYSICAL="saxigp2_arvalid"/>
<PORTMAP PHYSICAL="saxigp2_awaddr"/>
<PORTMAP PHYSICAL="saxigp2_awburst"/>
<PORTMAP PHYSICAL="saxigp2_awcache"/>
<PORTMAP PHYSICAL="saxigp2_awid"/>
<PORTMAP PHYSICAL="saxigp2_awlen"/>
<PORTMAP PHYSICAL="saxigp2_awlock"/>
<PORTMAP PHYSICAL="saxigp2_awprot"/>
<PORTMAP PHYSICAL="saxigp2_awqos"/>
<PORTMAP PHYSICAL="saxigp2_awready"/>
<PORTMAP PHYSICAL="saxigp2_awsize"/>
<PORTMAP PHYSICAL="saxigp2_awuser"/>
<PORTMAP PHYSICAL="saxigp2_awvalid"/>
<PORTMAP PHYSICAL="saxigp2_bid"/>
<PORTMAP PHYSICAL="saxigp2_bready"/>
<PORTMAP PHYSICAL="saxigp2_bresp"/>
<PORTMAP PHYSICAL="saxigp2_bvalid"/>
<PORTMAP PHYSICAL="saxigp2_racount"/>
<PORTMAP PHYSICAL="saxigp2_rcount"/>
<PORTMAP PHYSICAL="saxigp2_rdata"/>
<PORTMAP PHYSICAL="saxigp2_rid"/>
<PORTMAP PHYSICAL="saxigp2_rlast"/>
<PORTMAP PHYSICAL="saxigp2_rready"/>
<PORTMAP PHYSICAL="saxigp2_rresp"/>
<PORTMAP PHYSICAL="saxigp2_rvalid"/>
<PORTMAP PHYSICAL="saxigp2_wacount"/>
<PORTMAP PHYSICAL="saxigp2_wcount"/>
<PORTMAP PHYSICAL="saxigp2_wdata"/>
<PORTMAP PHYSICAL="saxigp2_wlast"/>
<PORTMAP PHYSICAL="saxigp2_wready"/>
<PORTMAP PHYSICAL="saxigp2_wstrb"/>
<PORTMAP PHYSICAL="saxigp2_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP3" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp3_araddr"/>
<PORTMAP PHYSICAL="saxigp3_arburst"/>
<PORTMAP PHYSICAL="saxigp3_arcache"/>
<PORTMAP PHYSICAL="saxigp3_arid"/>
<PORTMAP PHYSICAL="saxigp3_arlen"/>
<PORTMAP PHYSICAL="saxigp3_arlock"/>
<PORTMAP PHYSICAL="saxigp3_arprot"/>
<PORTMAP PHYSICAL="saxigp3_arqos"/>
<PORTMAP PHYSICAL="saxigp3_arready"/>
<PORTMAP PHYSICAL="saxigp3_arsize"/>
<PORTMAP PHYSICAL="saxigp3_aruser"/>
<PORTMAP PHYSICAL="saxigp3_arvalid"/>
<PORTMAP PHYSICAL="saxigp3_awaddr"/>
<PORTMAP PHYSICAL="saxigp3_awburst"/>
<PORTMAP PHYSICAL="saxigp3_awcache"/>
<PORTMAP PHYSICAL="saxigp3_awid"/>
<PORTMAP PHYSICAL="saxigp3_awlen"/>
<PORTMAP PHYSICAL="saxigp3_awlock"/>
<PORTMAP PHYSICAL="saxigp3_awprot"/>
<PORTMAP PHYSICAL="saxigp3_awqos"/>
<PORTMAP PHYSICAL="saxigp3_awready"/>
<PORTMAP PHYSICAL="saxigp3_awsize"/>
<PORTMAP PHYSICAL="saxigp3_awuser"/>
<PORTMAP PHYSICAL="saxigp3_awvalid"/>
<PORTMAP PHYSICAL="saxigp3_bid"/>
<PORTMAP PHYSICAL="saxigp3_bready"/>
<PORTMAP PHYSICAL="saxigp3_bresp"/>
<PORTMAP PHYSICAL="saxigp3_bvalid"/>
<PORTMAP PHYSICAL="saxigp3_racount"/>
<PORTMAP PHYSICAL="saxigp3_rcount"/>
<PORTMAP PHYSICAL="saxigp3_rdata"/>
<PORTMAP PHYSICAL="saxigp3_rid"/>
<PORTMAP PHYSICAL="saxigp3_rlast"/>
<PORTMAP PHYSICAL="saxigp3_rready"/>
<PORTMAP PHYSICAL="saxigp3_rresp"/>
<PORTMAP PHYSICAL="saxigp3_rvalid"/>
<PORTMAP PHYSICAL="saxigp3_wacount"/>
<PORTMAP PHYSICAL="saxigp3_wcount"/>
<PORTMAP PHYSICAL="saxigp3_wdata"/>
<PORTMAP PHYSICAL="saxigp3_wlast"/>
<PORTMAP PHYSICAL="saxigp3_wready"/>
<PORTMAP PHYSICAL="saxigp3_wstrb"/>
<PORTMAP PHYSICAL="saxigp3_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP4" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp4_araddr"/>
<PORTMAP PHYSICAL="saxigp4_arburst"/>
<PORTMAP PHYSICAL="saxigp4_arcache"/>
<PORTMAP PHYSICAL="saxigp4_arid"/>
<PORTMAP PHYSICAL="saxigp4_arlen"/>
<PORTMAP PHYSICAL="saxigp4_arlock"/>
<PORTMAP PHYSICAL="saxigp4_arprot"/>
<PORTMAP PHYSICAL="saxigp4_arqos"/>
<PORTMAP PHYSICAL="saxigp4_arready"/>
<PORTMAP PHYSICAL="saxigp4_arsize"/>
<PORTMAP PHYSICAL="saxigp4_aruser"/>
<PORTMAP PHYSICAL="saxigp4_arvalid"/>
<PORTMAP PHYSICAL="saxigp4_awaddr"/>
<PORTMAP PHYSICAL="saxigp4_awburst"/>
<PORTMAP PHYSICAL="saxigp4_awcache"/>
<PORTMAP PHYSICAL="saxigp4_awid"/>
<PORTMAP PHYSICAL="saxigp4_awlen"/>
<PORTMAP PHYSICAL="saxigp4_awlock"/>
<PORTMAP PHYSICAL="saxigp4_awprot"/>
<PORTMAP PHYSICAL="saxigp4_awqos"/>
<PORTMAP PHYSICAL="saxigp4_awready"/>
<PORTMAP PHYSICAL="saxigp4_awsize"/>
<PORTMAP PHYSICAL="saxigp4_awuser"/>
<PORTMAP PHYSICAL="saxigp4_awvalid"/>
<PORTMAP PHYSICAL="saxigp4_bid"/>
<PORTMAP PHYSICAL="saxigp4_bready"/>
<PORTMAP PHYSICAL="saxigp4_bresp"/>
<PORTMAP PHYSICAL="saxigp4_bvalid"/>
<PORTMAP PHYSICAL="saxigp4_racount"/>
<PORTMAP PHYSICAL="saxigp4_rcount"/>
<PORTMAP PHYSICAL="saxigp4_rdata"/>
<PORTMAP PHYSICAL="saxigp4_rid"/>
<PORTMAP PHYSICAL="saxigp4_rlast"/>
<PORTMAP PHYSICAL="saxigp4_rready"/>
<PORTMAP PHYSICAL="saxigp4_rresp"/>
<PORTMAP PHYSICAL="saxigp4_rvalid"/>
<PORTMAP PHYSICAL="saxigp4_wacount"/>
<PORTMAP PHYSICAL="saxigp4_wcount"/>
<PORTMAP PHYSICAL="saxigp4_wdata"/>
<PORTMAP PHYSICAL="saxigp4_wlast"/>
<PORTMAP PHYSICAL="saxigp4_wready"/>
<PORTMAP PHYSICAL="saxigp4_wstrb"/>
<PORTMAP PHYSICAL="saxigp4_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP5" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp5_araddr"/>
<PORTMAP PHYSICAL="saxigp5_arburst"/>
<PORTMAP PHYSICAL="saxigp5_arcache"/>
<PORTMAP PHYSICAL="saxigp5_arid"/>
<PORTMAP PHYSICAL="saxigp5_arlen"/>
<PORTMAP PHYSICAL="saxigp5_arlock"/>
<PORTMAP PHYSICAL="saxigp5_arprot"/>
<PORTMAP PHYSICAL="saxigp5_arqos"/>
<PORTMAP PHYSICAL="saxigp5_arready"/>
<PORTMAP PHYSICAL="saxigp5_arsize"/>
<PORTMAP PHYSICAL="saxigp5_aruser"/>
<PORTMAP PHYSICAL="saxigp5_arvalid"/>
<PORTMAP PHYSICAL="saxigp5_awaddr"/>
<PORTMAP PHYSICAL="saxigp5_awburst"/>
<PORTMAP PHYSICAL="saxigp5_awcache"/>
<PORTMAP PHYSICAL="saxigp5_awid"/>
<PORTMAP PHYSICAL="saxigp5_awlen"/>
<PORTMAP PHYSICAL="saxigp5_awlock"/>
<PORTMAP PHYSICAL="saxigp5_awprot"/>
<PORTMAP PHYSICAL="saxigp5_awqos"/>
<PORTMAP PHYSICAL="saxigp5_awready"/>
<PORTMAP PHYSICAL="saxigp5_awsize"/>
<PORTMAP PHYSICAL="saxigp5_awuser"/>
<PORTMAP PHYSICAL="saxigp5_awvalid"/>
<PORTMAP PHYSICAL="saxigp5_bid"/>
<PORTMAP PHYSICAL="saxigp5_bready"/>
<PORTMAP PHYSICAL="saxigp5_bresp"/>
<PORTMAP PHYSICAL="saxigp5_bvalid"/>
<PORTMAP PHYSICAL="saxigp5_racount"/>
<PORTMAP PHYSICAL="saxigp5_rcount"/>
<PORTMAP PHYSICAL="saxigp5_rdata"/>
<PORTMAP PHYSICAL="saxigp5_rid"/>
<PORTMAP PHYSICAL="saxigp5_rlast"/>
<PORTMAP PHYSICAL="saxigp5_rready"/>
<PORTMAP PHYSICAL="saxigp5_rresp"/>
<PORTMAP PHYSICAL="saxigp5_rvalid"/>
<PORTMAP PHYSICAL="saxigp5_wacount"/>
<PORTMAP PHYSICAL="saxigp5_wcount"/>
<PORTMAP PHYSICAL="saxigp5_wdata"/>
<PORTMAP PHYSICAL="saxigp5_wlast"/>
<PORTMAP PHYSICAL="saxigp5_wready"/>
<PORTMAP PHYSICAL="saxigp5_wstrb"/>
<PORTMAP PHYSICAL="saxigp5_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SAXIGP6" TYPE="SLAVE">
<PORTMAPS>
<PORTMAP PHYSICAL="saxigp6_araddr"/>
<PORTMAP PHYSICAL="saxigp6_arburst"/>
<PORTMAP PHYSICAL="saxigp6_arcache"/>
<PORTMAP PHYSICAL="saxigp6_arid"/>
<PORTMAP PHYSICAL="saxigp6_arlen"/>
<PORTMAP PHYSICAL="saxigp6_arlock"/>
<PORTMAP PHYSICAL="saxigp6_arprot"/>
<PORTMAP PHYSICAL="saxigp6_arqos"/>
<PORTMAP PHYSICAL="saxigp6_arready"/>
<PORTMAP PHYSICAL="saxigp6_arsize"/>
<PORTMAP PHYSICAL="saxigp6_aruser"/>
<PORTMAP PHYSICAL="saxigp6_arvalid"/>
<PORTMAP PHYSICAL="saxigp6_awaddr"/>
<PORTMAP PHYSICAL="saxigp6_awburst"/>
<PORTMAP PHYSICAL="saxigp6_awcache"/>
<PORTMAP PHYSICAL="saxigp6_awid"/>
<PORTMAP PHYSICAL="saxigp6_awlen"/>
<PORTMAP PHYSICAL="saxigp6_awlock"/>
<PORTMAP PHYSICAL="saxigp6_awprot"/>
<PORTMAP PHYSICAL="saxigp6_awqos"/>
<PORTMAP PHYSICAL="saxigp6_awready"/>
<PORTMAP PHYSICAL="saxigp6_awsize"/>
<PORTMAP PHYSICAL="saxigp6_awuser"/>
<PORTMAP PHYSICAL="saxigp6_awvalid"/>
<PORTMAP PHYSICAL="saxigp6_bid"/>
<PORTMAP PHYSICAL="saxigp6_bready"/>
<PORTMAP PHYSICAL="saxigp6_bresp"/>
<PORTMAP PHYSICAL="saxigp6_bvalid"/>
<PORTMAP PHYSICAL="saxigp6_racount"/>
<PORTMAP PHYSICAL="saxigp6_rcount"/>
<PORTMAP PHYSICAL="saxigp6_rdata"/>
<PORTMAP PHYSICAL="saxigp6_rid"/>
<PORTMAP PHYSICAL="saxigp6_rlast"/>
<PORTMAP PHYSICAL="saxigp6_rready"/>
<PORTMAP PHYSICAL="saxigp6_rresp"/>
<PORTMAP PHYSICAL="saxigp6_rvalid"/>
<PORTMAP PHYSICAL="saxigp6_wacount"/>
<PORTMAP PHYSICAL="saxigp6_wcount"/>
<PORTMAP PHYSICAL="saxigp6_wdata"/>
<PORTMAP PHYSICAL="saxigp6_wlast"/>
<PORTMAP PHYSICAL="saxigp6_wready"/>
<PORTMAP PHYSICAL="saxigp6_wstrb"/>
<PORTMAP PHYSICAL="saxigp6_wvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="CAN_0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_can0_phy_rx"/>
<PORTMAP PHYSICAL="emio_can0_phy_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="CAN_1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_can1_phy_rx"/>
<PORTMAP PHYSICAL="emio_can1_phy_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="GMII_ENET0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet0_gmii_col"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_crs"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_rx_clk"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_rx_dv"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_rx_er"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_rxd"/>
<PORTMAP PHYSICAL="emio_enet0_speed_mode"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_tx_clk"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_tx_en"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_tx_er"/>
<PORTMAP PHYSICAL="emio_enet0_gmii_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="MDIO_ENET0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet0_mdio_mdc"/>
<PORTMAP PHYSICAL="emio_enet0_mdio_i"/>
<PORTMAP PHYSICAL="emio_enet0_mdio_o"/>
<PORTMAP PHYSICAL="emio_enet0_mdio_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="PTP_ENET0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet0_delay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet0_delay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet0_pdelay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet0_pdelay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet0_pdelay_resp_rx"/>
<PORTMAP PHYSICAL="emio_enet0_pdelay_resp_tx"/>
<PORTMAP PHYSICAL="emio_enet0_rx_sof"/>
<PORTMAP PHYSICAL="emio_enet0_tx_sof"/>
<PORTMAP PHYSICAL="emio_enet0_sync_frame_rx"/>
<PORTMAP PHYSICAL="emio_enet0_sync_frame_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="FIFO_ENET0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet0_dma_tx_end_tog"/>
<PORTMAP PHYSICAL="emio_enet0_dma_tx_status_tog"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_data"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_eop"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_err"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_flush"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_overflow"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_sop"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_status"/>
<PORTMAP PHYSICAL="emio_enet0_rx_w_wr"/>
<PORTMAP PHYSICAL="emio_enet0_signal_detect"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_control"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_data"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_data_rdy"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_eop"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_err"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_fixed_lat"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_flushed"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_rd"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_sop"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_status"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_underflow"/>
<PORTMAP PHYSICAL="emio_enet0_tx_r_valid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="GMII_ENET1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet1_gmii_col"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_crs"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_rx_clk"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_rx_dv"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_rx_er"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_rxd"/>
<PORTMAP PHYSICAL="emio_enet1_speed_mode"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_tx_clk"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_tx_en"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_tx_er"/>
<PORTMAP PHYSICAL="emio_enet1_gmii_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="MDIO_ENET1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet1_mdio_mdc"/>
<PORTMAP PHYSICAL="emio_enet1_mdio_i"/>
<PORTMAP PHYSICAL="emio_enet1_mdio_o"/>
<PORTMAP PHYSICAL="emio_enet1_mdio_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="PTP_ENET1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet1_delay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet1_delay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet1_pdelay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet1_pdelay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet1_pdelay_resp_rx"/>
<PORTMAP PHYSICAL="emio_enet1_pdelay_resp_tx"/>
<PORTMAP PHYSICAL="emio_enet1_rx_sof"/>
<PORTMAP PHYSICAL="emio_enet1_tx_sof"/>
<PORTMAP PHYSICAL="emio_enet1_sync_frame_rx"/>
<PORTMAP PHYSICAL="emio_enet1_sync_frame_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="FIFO_ENET1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet1_dma_tx_end_tog"/>
<PORTMAP PHYSICAL="emio_enet1_dma_tx_status_tog"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_data"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_eop"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_err"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_flush"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_overflow"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_sop"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_status"/>
<PORTMAP PHYSICAL="emio_enet1_rx_w_wr"/>
<PORTMAP PHYSICAL="emio_enet1_signal_detect"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_control"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_data"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_data_rdy"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_eop"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_err"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_fixed_lat"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_flushed"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_rd"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_sop"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_status"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_underflow"/>
<PORTMAP PHYSICAL="emio_enet1_tx_r_valid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="GMII_ENET2" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet2_gmii_col"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_crs"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_rx_clk"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_rx_dv"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_rx_er"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_rxd"/>
<PORTMAP PHYSICAL="emio_enet2_speed_mode"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_tx_clk"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_tx_en"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_tx_er"/>
<PORTMAP PHYSICAL="emio_enet2_gmii_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="MDIO_ENET2" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet2_mdio_mdc"/>
<PORTMAP PHYSICAL="emio_enet2_mdio_i"/>
<PORTMAP PHYSICAL="emio_enet2_mdio_o"/>
<PORTMAP PHYSICAL="emio_enet2_mdio_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="PTP_ENET2" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet2_delay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet2_delay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet2_pdelay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet2_pdelay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet2_pdelay_resp_rx"/>
<PORTMAP PHYSICAL="emio_enet2_pdelay_resp_tx"/>
<PORTMAP PHYSICAL="emio_enet2_rx_sof"/>
<PORTMAP PHYSICAL="emio_enet2_tx_sof"/>
<PORTMAP PHYSICAL="emio_enet2_sync_frame_rx"/>
<PORTMAP PHYSICAL="emio_enet2_sync_frame_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="FIFO_ENET2" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet2_dma_tx_end_tog"/>
<PORTMAP PHYSICAL="emio_enet2_dma_tx_status_tog"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_data"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_eop"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_err"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_flush"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_overflow"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_sop"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_status"/>
<PORTMAP PHYSICAL="emio_enet2_rx_w_wr"/>
<PORTMAP PHYSICAL="emio_enet2_signal_detect"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_control"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_data"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_data_rdy"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_eop"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_err"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_fixed_lat"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_flushed"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_rd"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_sop"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_status"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_underflow"/>
<PORTMAP PHYSICAL="emio_enet2_tx_r_valid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="GMII_ENET3" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet3_gmii_col"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_crs"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_rx_clk"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_rx_dv"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_rx_er"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_rxd"/>
<PORTMAP PHYSICAL="emio_enet3_speed_mode"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_tx_clk"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_tx_en"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_tx_er"/>
<PORTMAP PHYSICAL="emio_enet3_gmii_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="MDIO_ENET3" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet3_mdio_mdc"/>
<PORTMAP PHYSICAL="emio_enet3_mdio_i"/>
<PORTMAP PHYSICAL="emio_enet3_mdio_o"/>
<PORTMAP PHYSICAL="emio_enet3_mdio_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="PTP_ENET3" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet3_delay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet3_delay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet3_pdelay_req_rx"/>
<PORTMAP PHYSICAL="emio_enet3_pdelay_req_tx"/>
<PORTMAP PHYSICAL="emio_enet3_pdelay_resp_rx"/>
<PORTMAP PHYSICAL="emio_enet3_pdelay_resp_tx"/>
<PORTMAP PHYSICAL="emio_enet3_rx_sof"/>
<PORTMAP PHYSICAL="emio_enet3_tx_sof"/>
<PORTMAP PHYSICAL="emio_enet3_sync_frame_rx"/>
<PORTMAP PHYSICAL="emio_enet3_sync_frame_tx"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="FIFO_ENET3" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_enet3_dma_tx_end_tog"/>
<PORTMAP PHYSICAL="emio_enet3_dma_tx_status_tog"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_data"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_eop"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_err"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_flush"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_overflow"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_sop"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_status"/>
<PORTMAP PHYSICAL="emio_enet3_rx_w_wr"/>
<PORTMAP PHYSICAL="emio_enet3_signal_detect"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_control"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_data"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_data_rdy"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_eop"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_err"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_fixed_lat"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_flushed"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_rd"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_sop"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_status"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_underflow"/>
<PORTMAP PHYSICAL="emio_enet3_tx_r_valid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="GPIO_0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_gpio_i"/>
<PORTMAP PHYSICAL="emio_gpio_o"/>
<PORTMAP PHYSICAL="emio_gpio_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="IIC_0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_i2c0_scl_i"/>
<PORTMAP PHYSICAL="emio_i2c0_scl_o"/>
<PORTMAP PHYSICAL="emio_i2c0_scl_t_n"/>
<PORTMAP PHYSICAL="emio_i2c0_sda_i"/>
<PORTMAP PHYSICAL="emio_i2c0_sda_o"/>
<PORTMAP PHYSICAL="emio_i2c0_sda_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="IIC_1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_i2c1_scl_i"/>
<PORTMAP PHYSICAL="emio_i2c1_scl_o"/>
<PORTMAP PHYSICAL="emio_i2c1_scl_t_n"/>
<PORTMAP PHYSICAL="emio_i2c1_sda_i"/>
<PORTMAP PHYSICAL="emio_i2c1_sda_o"/>
<PORTMAP PHYSICAL="emio_i2c1_sda_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="UART_0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_uart0_ctsn"/>
<PORTMAP PHYSICAL="emio_uart0_dcdn"/>
<PORTMAP PHYSICAL="emio_uart0_dsrn"/>
<PORTMAP PHYSICAL="emio_uart0_dtrn"/>
<PORTMAP PHYSICAL="emio_uart0_rin"/>
<PORTMAP PHYSICAL="emio_uart0_rtsn"/>
<PORTMAP PHYSICAL="emio_uart0_rxd"/>
<PORTMAP PHYSICAL="emio_uart0_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="UART_1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_uart1_ctsn"/>
<PORTMAP PHYSICAL="emio_uart1_dcdn"/>
<PORTMAP PHYSICAL="emio_uart1_dsrn"/>
<PORTMAP PHYSICAL="emio_uart1_dtrn"/>
<PORTMAP PHYSICAL="emio_uart1_rin"/>
<PORTMAP PHYSICAL="emio_uart1_rtsn"/>
<PORTMAP PHYSICAL="emio_uart1_rxd"/>
<PORTMAP PHYSICAL="emio_uart1_txd"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SPI_0" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_spi0_m_i"/>
<PORTMAP PHYSICAL="emio_spi0_m_o"/>
<PORTMAP PHYSICAL="emio_spi0_mo_t_n"/>
<PORTMAP PHYSICAL="emio_spi0_s_i"/>
<PORTMAP PHYSICAL="emio_spi0_s_o"/>
<PORTMAP PHYSICAL="emio_spi0_so_t_n"/>
<PORTMAP PHYSICAL="emio_spi0_sclk_i"/>
<PORTMAP PHYSICAL="emio_spi0_sclk_o"/>
<PORTMAP PHYSICAL="emio_spi0_sclk_t_n"/>
<PORTMAP PHYSICAL="emio_spi0_ss_i_n"/>
<PORTMAP PHYSICAL="emio_spi0_ss_o_n"/>
<PORTMAP PHYSICAL="emio_spi0_ss_n_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="SPI_1" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="emio_spi1_m_i"/>
<PORTMAP PHYSICAL="emio_spi1_m_o"/>
<PORTMAP PHYSICAL="emio_spi1_mo_t_n"/>
<PORTMAP PHYSICAL="emio_spi1_s_i"/>
<PORTMAP PHYSICAL="emio_spi1_s_o"/>
<PORTMAP PHYSICAL="emio_spi1_so_t_n"/>
<PORTMAP PHYSICAL="emio_spi1_sclk_i"/>
<PORTMAP PHYSICAL="emio_spi1_sclk_o"/>
<PORTMAP PHYSICAL="emio_spi1_sclk_t_n"/>
<PORTMAP PHYSICAL="emio_spi1_ss_i_n"/>
<PORTMAP PHYSICAL="emio_spi1_ss_o_n"/>
<PORTMAP PHYSICAL="emio_spi1_ss_n_t_n"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="S_AXIS_AUDIO" TYPE="TARGET">
<PORTMAPS>
<PORTMAP PHYSICAL="dp_s_axis_audio_tdata"/>
<PORTMAP PHYSICAL="dp_s_axis_audio_tid"/>
<PORTMAP PHYSICAL="dp_s_axis_audio_tready"/>
<PORTMAP PHYSICAL="dp_s_axis_audio_tvalid"/>
</PORTMAPS>
</BUSINTERFACE>
<BUSINTERFACE BUSNAME="__NOC__" NAME="M_AXIS_MIXED_AUDIO" TYPE="INITIATOR">
<PORTMAPS>
<PORTMAP PHYSICAL="dp_m_axis_mixed_audio_tdata"/>
<PORTMAP PHYSICAL="dp_m_axis_mixed_audio_tid"/>
<PORTMAP PHYSICAL="dp_m_axis_mixed_audio_tready"/>
<PORTMAP PHYSICAL="dp_m_axis_mixed_audio_tvalid"/>
</PORTMAPS>
</BUSINTERFACE>
</BUSINTERFACES>
<MEMORYMAP/>
<PERIPHERALS/>
</MODULE>
</MODULES>
</EDKSYSTEM>