blob: 1661307feb4110cf140f827a598ce2ea8ac221d3 [file] [log] [blame]
# SPDX-License-Identifier: Apache-2.0
macro(toolchain_cc_asan)
zephyr_compile_options(-fsanitize=address)
zephyr_link_libraries(-lasan)
zephyr_ld_options(-fsanitize=address)
endmacro()
macro(toolchain_cc_ubsan)
zephyr_compile_options(-fsanitize=undefined)
zephyr_ld_options(-fsanitize=undefined)
endmacro()