blob: 80c4a0295b06c13b0aa31e4d2adeb5353bdad0e4 [file] [log] [blame]
#
# Copyright (c) 2017 I-SENSE group of ICCS
#
# SPDX-License-Identifier: Apache-2.0
#
---
title: STM32 I2C V1
id: st,stm32-i2c-v1
version: 0.1
description: >
This binding gives a base representation of the STM32 I2C V1 controller
inherits:
!include i2c.yaml
properties:
compatible:
type: string
category: required
description: compatible strings
constraint: "st,stm32-i2c-v1"
reg:
type: array
description: mmio register space
generation: define
category: required
interrupts:
type: array
category: required
description: required interrupts
generation: define
interrupt-names:
type: stringlist
category: optional
description: readable string describing the interrupts
generation: define
clocks:
type: array
category: required
description: Clock gate control information
generation: define
...