blob: 7fe6d1a00e7c2ee582985bc954a5f6374c6f8ae2 [file] [log] [blame]
# SPDX-License-Identifier: Apache-2.0
# Copyright (c) 2018 SiFive, Inc.
CONFIG_BUILD_OUTPUT_HEX=y