blob: 1b2d436a40aa23e5230d909f0e5f01386b99015d [file] [log] [blame]
# Copyright (c) 2018 - 2019 Antmicro <www.antmicro.com>
# SPDX-License-Identifier: Apache-2.0
title: LiteX UART
description: >
This binding gives a base representation of the LiteX UART
compatible: "litex,uart0"
include: uart-controller.yaml
properties:
reg:
required: true
interrupts:
required: true