blob: e208047aadcb8183c730d0566abd87ce2159ddd4 [file] [log] [blame]
/*
* Copyright (c) 2022 Arm Limited (or its affiliates). All rights reserved.
* SPDX-License-Identifier: Apache-2.0
*/
#include "fvp_base_revc_2xaemv8a.dts"