blob: dc60fd1c5b2fa7c11ea8319936d9cfc5118ec8bc [file] [log] [blame]
/*
* Copyright (c) 2021 STMicroelectronics
*
* SPDX-License-Identifier: Apache-2.0
*/
&rcc {
apb2-prescaler = <2>;
};
&spi1 {
slow@0 {
compatible = "test-spi-loopback-slow";
reg = <0>;
spi-max-frequency = <500000>;
};
fast@0 {
compatible = "test-spi-loopback-fast";
reg = <0>;
spi-max-frequency = <16000000>;
};
};