blob: f87d6e94da824d1e3fce9bf25d4fb777fd328bf4 [file] [log] [blame]
# Copyright (c) 2018, Foundries.io
# SPDX-License-Identifier: Apache-2.0
# Common fields for UART devices
include: base.yaml
on-bus: uart
properties:
label:
required: true