blob: e5764a21a1929785f537c630767b45577d1c60e6 [file] [log] [blame]
# Copyright (c) 2018 Linaro Limited
# SPDX-License-Identifier: Apache-2.0
description: SiFive UART
compatible: "microsemi,coreuart"
include: uart-controller.yaml
properties:
reg:
required: true