blob: 28c1f453637b04dfd9d900dfca5ffd948837a74c [file] [log] [blame]
/*
* Copyright (c) 2018 Alexander Wachter
* SPDX-License-Identifier: Apache-2.0
*/
&arduino_i2c {
status = "okay";
clock-frequency = <I2C_BITRATE_STANDARD>;
iaqcore: iaqcore@5a {
compatible = "ams,iaqcore";
reg = <0x5a>;
};
};