blob: 1d78712b9379d07b94a27ee42a2ba1f60e2a9363 [file] [log] [blame]
# SPDX-License-Identifier: Apache-2.0
add_subdirectory_ifdef(CONFIG_UDC_DRIVER udc)
add_subdirectory_ifdef(CONFIG_UHC_DRIVER uhc)
add_subdirectory_ifdef(CONFIG_UVB uvb)
add_subdirectory_ifdef(CONFIG_USB_DEVICE_DRIVER device)