blob: 579660e8df1b287c60b77257780804e0dc082738 [file] [log] [blame]
CONFIG_TEST=y
CONFIG_TEST_USERSPACE=y
CONFIG_LOG=y
CONFIG_SYS_CLOCK_TICKS_PER_SEC=1000
CONFIG_GPIO=y
CONFIG_SPI=y
CONFIG_FPGA=y
# Must disable pinctrl here because otherwise there is an error in
# fpga_ice40.c here about the two nodes both declaring the same pinctrl data
# of the common spi-bus parent. That effectively limits the number of
# iCE40 FPGAs on a single bus to 1.
CONFIG_PINCTRL=n
CONFIG_ICE40_FPGA=y