blob: c9776e5b9d486d7aff481726e3ee7ff9f1e59a44 [file] [log] [blame]
# Copyright (c) 2018 Linaro Limited
# SPDX-License-Identifier: Apache-2.0
description: SiFive UART
compatible: "microchip,coreuart"
include: uart-controller.yaml
properties:
reg:
required: true