blob: c9f9199d46ce76c2689fe7980897c9eed9cbf470 [file] [log] [blame]
/*
* Copyright (c) 2021 Thomas Stranger
*
* SPDX-License-Identifier: Apache-2.0
*/
&dma1 {
status = "okay";
};
&dmamux1 {
status = "okay";
};