blob: 544b453940bdc73b0104cf46bc7967fd99b419b3 [file] [log] [blame]
/*
* Copyright (c) 2018 Alexander Wachter
* SPDX-License-Identifier: Apache-2.0
*/
&arduino_i2c {
status = "okay";
clock-frequency = <I2C_BITRATE_STANDARD>;
iaqcore: iaqcore@5a {
compatible = "ams,iaqcore";
reg = <0x5a>;
label = "IAQ_CORE";
};
};