blob: 6e57c4d83bca557d34a130d98a99edf042191f60 [file] [log] [blame]
/*
* Copyright (c) 2021 Arm Limited (or its affiliates). All rights reserved.
* SPDX-License-Identifier: Apache-2.0
*/
#include "fvp_baser_aemv8r_fvp_aemv8r_aarch64.dts"