blob: 2fee10c7ffd2f53e9a7937e461632cc22fed4de8 [file] [log] [blame]
/*
* Copyright (c) 2022 Kumar Gala <galak@kernel.org>
*
* SPDX-License-Identifier: Apache-2.0
*/
tst_dma0: &dma2 { };