blob: 3e0a6f5aab1afd777d30ecb0a9d3d78890847891 [file] [log] [blame]
/*
* Copyright (c) 2018, Synopsys, Inc. All rights reserved.
*
* SPDX-License-Identifier: Apache-2.0
*/
#include "em_starterkit_em7d.dts"