blob: faa12792c0113fc655645a23045457b0ceceecb3 [file] [log] [blame]
# I2C slave tests common configuration options
# Copyright (c) 2017 BayLibre, SAS
# SPDX-License-Identifier: Apache-2.0
config I2C_VIRTUAL
bool "Virtual I2C Driver for Slave development"
depends on I2C
help
This driver exposes a virtual I2C driver used to debug virtual
I2C slave drivers.
config I2C_VIRTUAL_NAME
string "Virtual Port device name"
default "I2C_VIRTUAL"
depends on I2C_VIRTUAL
config APP_DUAL_ROLE_I2C
bool "Test with combined master/slave behavior"