blob: 3982a79bd9db2ae41cf025682eb0683235e65832 [file] [log] [blame]
/*
* Copyright (c) 2022 STMicroelectronics
*
* SPDX-License-Identifier: Apache-2.0
*/
&rcc {
/* Reduce APB1 speed to achieve test window timings */
apb1-prescaler = <16>;
};
&wwdg {
status = "okay";
};
&iwdg {
status = "disabled";
};