blob: 04cc7771756845c14982d6fbccfe7f8928c3bd22 [file] [log] [blame]
/*
* Copyright (c) 2020 Aurelien Jarno
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <st/l4/stm32l412.dtsi>