blob: 4a56369ff37dad9dfbbcd32de6f429466ef52095 [file] [log] [blame]
# Copyright (c) 2018 Linaro Limited
# SPDX-License-Identifier: Apache-2.0
description: SiFive UART
compatible: "microsemi,coreuart"
include: uart-controller.yaml
properties:
reg:
required: true