blob: 38eb8e2becd818bf491cfff1d51d0392e2c607be [file] [log] [blame]
# Copyright (c) 2018, Foundries.io
# SPDX-License-Identifier: Apache-2.0
# Common fields for UART devices
include: base.yaml
on-bus: uart