blob: 1608c9e6773eb3dc8bc08edec0d5bf98ffc230f4 [file] [log] [blame]
/*
* SPDX-License-Identifier: Apache-2.0
*/
#ifndef __RISCV32_MIV_SOC_H_
#define __RISCV32_MIV_SOC_H_
#include <soc_common.h>
/* UART Configuration */
#define MIV_UART_0_LINECFG 0x1
#endif /* __RISCV32_MIV_SOC_H_ */