blob: 1deb06514adba42ac0aa7ca19c3815a65ab237cb [file] [log] [blame]
#
# Copyright (c) 2019, Linaro Ltd.
#
# SPDX-License-Identifier: Apache-2.0
#
CONFIG_ARM=y
CONFIG_SOC_FAMILY_IMX=y
CONFIG_SOC_SERIES_IMX7_M4=y
CONFIG_SOC_MCIMX7_M4=y
CONFIG_BOARD_96B_MEERKAT96=y
CONFIG_CORTEX_M_SYSTICK=y
# enable uart driver
CONFIG_SERIAL=y
CONFIG_SERIAL_HAS_DRIVER=y
CONFIG_UART_INTERRUPT_DRIVEN=y
# console
CONFIG_CONSOLE=y
CONFIG_UART_CONSOLE=y
CONFIG_CONSOLE_HAS_DRIVER=y
CONFIG_XIP=y