blob: 59e30d84bc9afe12c168615a095b63732a0bb0de [file] [log] [blame]
# SPDX-License-Identifier: Apache-2.0
if BOARD_UP_SQUARED
config BOARD
default "up_squared"
config BUILD_OUTPUT_STRIPPED
default y
if UART_NS16550
config UART_NS16550_PORT_0
default y
config UART_NS16550_PORT_1
default y
endif # UART_NS16550
if I2C
config I2C_0
default y
config I2C_1
default y
endif # I2C
config APIC_TIMER
default y if !HPET_TIMER
endif # BOARD_UP_SQUARED