blob: f039bcf2f43eea1d7086dde257c5ad10c1e3dc6f [file] [log] [blame]
/*
* Copyright (c) 2018 Alexander Wachter
* SPDX-License-Identifier: Apache-2.0
*/
&arduino_i2c {
status = "ok";
clock-frequency = <I2C_BITRATE_STANDARD>;
iaqcore: iaqcore@5a {
compatible = "ams,iaqcore";
reg = <0x5a>;
label = "IAQ_CORE";
};
};