blob: 9a8284e9501092826384879c23d386af9d62f8b8 [file] [log] [blame]
/*
* Copyright (c) 2021 Leonard Pollak
*
* SPDX-License-Identifier: Apache-2.0
*/
&i2c1 {
status = "okay";
compatible = "st,stm32-i2c-v1";
clock-frequency = <I2C_BITRATE_FAST>;
label = "I2C_0";
ina219@40 {
status = "okay";
compatible = "ti,ina219";
reg = <0x40>;
label = "INA219";
brng = <0>;
pg = <0>;
sadc = <13>;
badc = <13>;
shunt-milliohm = <100>;
lsb-microamp = <10>;
};
};