blob: dbf05b32db01a6018f8d29ca635dfd0a3c673c39 [file] [log] [blame]
#
# Copyright (c) 2017 I-SENSE group of ICCS
#
# SPDX-License-Identifier: Apache-2.0
#
title: STM32 I2C V1
description: >
This binding gives a base representation of the STM32 I2C V1 controller
inherits:
!include i2c.yaml
properties:
compatible:
constraint: "st,stm32-i2c-v1"
reg:
category: required
interrupts:
category: required