blob: 66950362518db54c0876594ab81173e3e5c92a78 [file] [log] [blame]
#
# Copyright (c) 2017 I-SENSE group of ICCS
#
# SPDX-License-Identifier: Apache-2.0
#
title: STM32 I2C V2
description: >
This binding gives a base representation of the STM32 I2C V2 controller
inherits:
!include i2c.yaml
properties:
compatible:
constraint: "st,stm32-i2c-v2"
reg:
category: required
interrupts:
category: required