blob: 7e24497cbef80b62432d9dd7d14ec926db66467f [file] [log] [blame]
#
# Copyright (c) 2018 Linaro Limited
#
# SPDX-License-Identifier: Apache-2.0
#
---
title: SIFIVE UART
version: 0.1
description: >
This binding gives a base representation of the SIFIVE UART
inherits:
!include uart.yaml
properties:
compatible:
type: string
category: required
description: compatible strings
constraint: "microsemi,coreuart"
generation: define
reg:
type: array
description: mmio register space
generation: define
category: required
interrupts:
type: array
category: required
description: required interrupts
generation: define
...