blob: 2a72eed16d9bbd68df333bcbbe578935f3d2a1e8 [file] [log] [blame]
/*
* Copyright (c) 2021 Henrik Brix Andersen <henrik@brixandersen.dk>
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <zephyr/irq.h>
#include <soc.h>
#if defined(CONFIG_RISCV_SOC_INTERRUPT_INIT)
void soc_interrupt_init(void)
{
(void)arch_irq_lock();
csr_write(mie, 0);
}
#endif