blob: bbe999d2d2ddf17175434e24321ba5c22cb9c625 [file] [log] [blame]
# Copyright (c) 2021 Nordic Semiconductor ASA
# SPDX-License-Identifier: Apache-2.0
description: USB CDC ACM UART
compatible: "zephyr,cdc-acm-uart"
include: uart-controller.yaml
on-bus: usb
properties:
tx-fifo-size:
type: int
default: 1024
description: |
Size of the virtual CDC ACM UART TX FIFO
required: false
rx-fifo-size:
type: int
default: 1024
description: |
Size of the virtual CDC ACM UART RX FIFO
required: false