blob: 099fee1ebd868907ad33280a55af55561fa5b82d [file] [log] [blame]
# Copyright (c) 2023 EPAM Systems
# SPDX-License-Identifier: Apache-2.0
if BOARD_RCAR_SALVATOR_XS
config BUILD_OUTPUT_BIN
default y
endif # BOARD_RCAR_SALVATOR_XS