blob: 4123645c7ba1e0b7fc7f6b12ae3c2800309b90af [file] [log] [blame]
#
# Copyright (c) 2018, NXP
#
# SPDX-License-Identifier: Apache-2.0
#
CONFIG_SPI_LOOPBACK_DRV_NAME="SPI_0"
CONFIG_SPI_LOOPBACK_SLAVE_NUMBER=2
CONFIG_DEBUG_OPTIMIZATIONS=y