blob: eab363682cc48969306e235aa9fec648ea9db0eb [file] [log] [blame]
/*
* Copyright 2023 NXP
*
* SPDX-License-Identifier: Apache-2.0
*/
/* Short P1.3 (SPI0/MISO) with P1.4 (SPI0/MOSI) */
&lpspi0 {
slow@0 {
compatible = "test-spi-loopback-slow";
reg = <0>;
spi-max-frequency = <500000>;
};
fast@0 {
compatible = "test-spi-loopback-fast";
reg = <0>;
spi-max-frequency = <9000000>;
};
};