blob: 312f16e39916581ef3ff8de1f473bb71124f0e57 [file] [log] [blame]
/*
* Copyright (c) 2018 qianfan Zhao
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <st/stm32f0.dtsi>
/ {
soc {
spi2: spi@40003800 {
compatible = "st,stm32-spi-fifo";
#address-cells = <1>;
#size-cells = <0>;
reg = <0x40003800 0x400>;
interrupts = <26 3>;
status = "disabled";
label = "SPI_2";
};
usb: usb@40005c00 {
compatible = "st,stm32-usb";
reg = <0x40005c00 0x400>;
interrupts = <31 0>;
interrupt-names = "usb";
num-bidir-endpoints = <8>;
num-in-endpoints = <0>;
num-out-endpoints = <0>;
ram-size = <1024>;
status = "disabled";
label= "USB";
};
};
};