blob: bb4f31c45c6e8115a69b1645302e5f0f348b65e8 [file] [log] [blame]
# Copyright (c) 2021, Commonwealth Scientific and Industrial Research
# Organisation (CSIRO) ABN 41 687 119 230.
# SPDX-License-Identifier: Apache-2.0
DT_COMPAT_VND_ADC := vnd,adc
# Hidden option for turning on the dummy driver for vnd,adc devices
# used in testing.
config ADC_TEST
def_bool $(dt_compat_enabled,$(DT_COMPAT_VND_ADC))