blob: cda6e428374852cf8f0b83d50bef47ad25fb93c2 [file] [log] [blame]
# Copyright (c) 2024 STMicroelectronics
# SPDX-License-Identifier: Apache-2.0
add_subdirectory(common)
add_subdirectory(${SOC_SERIES})