blob: f2618092e0001723867e6b0a12c605f428ead37a [file] [log] [blame]
#
# Copyright (c) 2018 Linaro Limited
#
# SPDX-License-Identifier: Apache-2.0
#
title: SIFIVE UART
description: >
This binding gives a base representation of the SIFIVE UART
inherits:
!include uart.yaml
properties:
compatible:
constraint: "microsemi,coreuart"
reg:
category: required