blob: 00db7756c0ecc3e9821446a2d504c07b8e6be0e3 [file] [log] [blame]
tests:
arch.x86.info:
arch_whitelist: x86
platform_whitelist: up_squared
harness: console
harness_config:
type: one_line
regex:
- "info: complete"