blob: e86fa3b85e894e319c81894fd529bc8152c5c69c [file] [log] [blame]
/*
* Copyright (c) 2019,2020 Linaro Limited
*
* SPDX-License-Identifier: Apache-2.0
*/
#include <zephyr.h>
#include "psa/error.h"
#ifdef __cplusplus
extern "C" {
#endif
void crp_test_rng(void);
void crp_test_sha256(void);
#ifdef __cplusplus
}
#endif