blob: b2b26fcf4ff2b919b49706f9208f07dac7bde4a4 [file] [log] [blame]
# Copyright (c) 2020 Innoseis BV
# SPDX-License-Identifier: Apache-2.0
menuconfig I2C_TCA9546A
bool "I2C addressable switch"
help
Enable TCA9546A i2C bus switch
if I2C_TCA9546A
config I2C_TCA9546_ROOT_INIT_PRIO
int "TCA9546a root driver init priority"
default I2C_INIT_PRIORITY
config I2C_TCA9546_CHANNEL_INIT_PRIO
int "TCA9546a channel driver init priority"
default I2C_INIT_PRIORITY
endif