blob: 94229b069247a1d2e900f550be9ed1cecaf87397 [file] [log] [blame]
# Copyright (c) 2023 TOKITA Hiroshi <tokita.hiroshi@fujitsu.com>
# SPDX-License-Identifier: Apache-2.0
description: Renesas RA Series SCI based UART controller
compatible: "renesas,ra-uart-sci"
include: [uart-controller.yaml]